透過您的圖書館登入
IP:18.220.11.34
  • 學位論文

定向自組裝微影考量導通孔嵌入之綜合優化演算法

Comprehensive Optimization Algorithms for Directed Self-Assembly (DSA)-Aware Redundant Via Insertion

指導教授 : 陳中平
本文將於2025/12/21開放下載。若您希望在開放下載時收到通知,可將文章加入收藏

摘要


並列摘要


Directed self-assembly (DSA) lithography technology, which has shown its strong potential for contact/via fabrication, is the most promising candidate patterning dense layout for next generation lithography in sub-10 nm technology nodes. On the other hand, post-routing redundant via insertion process adding a redundant via adjacent to a single via has become an important semiconductor manufacturing procedure highly recommended by foundries to increase yield and circuit reliability. However, existing redundant via insertion algorithms are not suitable for DSA since they could seriously decrease via manufacturability. Although the first ILP-based algorithm for redundant via insertion considering DSA has been proposed in [14], this method suffer from high computational complexity and may not efficient enough for a large and complicated circuit design. In this work, we proposed two efficient algorithms to simultaneously optimize DSA guiding templates and redundant via insertion rate. A graph-based approach is first presented to find a near-optimal solution of DSA-aware redundant via insertion of a given layout in linear time. Then, an advanced integer linear programming (ILP)-based algorithm is proposed to find an optimal solution. Moreover, we utilized wire perturbation to further enhance the quality of DSA-aware redundant via insertion. Experimental results show that our algorithms can effectively optimize the redundant via insertion rate and improve DSA manufacturability. Compared to the first ILP-based method [14], our graph algorithm and ILP approach can achieve 40X and 2X speed-up respectively.

參考文獻


[1] Y. Ban, K. Lucas, and D. Pan, "Flexible 2D layout decomposition framework for spacer-type double pattering lithography," in Proceedings of ACM/IEEE Design Automation Conference (DAC), pp. 789-794, 2011.
[2] H.-Y. Chen, M.-F. Chiang, and Y.-W. Chang, "Novel full-chip gridless routing considering double-via insertion," in Proceedings of ACM/IEEE Design Automation Conference (DAC), pp. 755-760, 2006.
[6] Y. Du, D. Guo, M. D. F. Wong, H. Yi, H.-S. P. Wong, H. Zhang, and Q. Ma, "Block copolymer directed self-assembly (DSA) awrae contact layer optimization for 10 nm 1D standard cell library," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 186-193, 2013.
[7] Y. Du, Z. Xiao, M. D. F.Wong, H. Yi, and H.-S. P.Wong, "DSA-aware detailed routing for via layer optimization," in Proc. SPIE, vol. 9049, pp. 904920, 2014.
[10] J.-R. Gao and D. Z. Pan, "Flexible self-aligned double patterning aware detailed routing with prescribed layout planning," in Proceedings of ACM International Symposium on Physical Design (ISPD), pp. 25-32, 2012.

延伸閱讀