透過您的圖書館登入
IP:3.149.238.159
  • 期刊
  • OpenAccess

Analysis of AVF Evaluation Methods for Microprocessor Reliability

並列摘要


Soft error arises from the strike of high-energy particle, and has become a key challenge in microprocessor design. Designers clearly require accurate estimates the error rates to make appropriate cost. A key aspect of the estimation is the analysis of architectural vulnerability factor (AVF). The AVF of a processor structure is defined as the probability that a fault in a processor structure will result in a visible error in the final output of a program, and AVF is one of the most commonly used estimation metrics of a structure's vulnerability. In this paper, we describe the AVF's concept, computing methods and comparison of three models, and we also discuss the directions for future research.

延伸閱讀