透過您的圖書館登入
IP:3.138.102.114
  • 期刊

氦離子束檢測技術簡介及其於高深寬比結構鍍膜製程研發之應用

Introduction of Helium Ion Microscopy and Its Application in Developing Deposition Process for High Aspect Ratio Structures

摘要


目前的掃描電子顯微鏡技術存在著一些性能上的限制。在奈米等級結構上,影像解析度通常受限於樣品相互作用的體積,而不是實際的聚焦點大小。使用較高能量電子束或較大質量的粒子束源雖然可以減少散射的影響,但隨之而來的副作用,如更大的樣品相互作用體積及大量分散的粒子源能量使影像解析度仍舊無法提升。近年來,氣體場離子源研究的進展使得以輕惰性氣體離子作為離子源的顯微設備得以實現,有助於奈米等級結構的檢測及相關製程研發。本文將針對氦離子束檢測技術及高深寬比結構鍍膜技術進行介紹。

並列摘要


Current scanning electron microscopy technology suffers from several performance limitations. The image resolution is usually limited by the volume of the sample interaction rather than the actual spot size on nanoscale structures. While the use of higher energy electron beams or larger mass particle beam sources can reduce the effect of scattering, the accompanying side effects of larger sample interaction volumes and the large energy spread still prevent image resolution from being improved. In recent years, advances in gas field ion source research have enabled the realization of microscopy devices using light inert gas ions as the ion source, which helps the detection of nanoscale structures and related process development. In this article, we will introduce the helium ion beam inspection technique and the high aspect ratio structures deposition techniques.

參考文獻


Ward, B. W.,Notte, John A.,Economou, N. P.(2006).Helium ion microscope: A new tool for nanoscale microscopy and metrology.Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena.24(6),2871-2874.
Winston, D.(2009).Scanning-helium-ion-beam lithography with hydrogen silsesquioxane resist.Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena.27(6),2702-2706.
Sidorkin, Vadim(2009).Sub-10-nm nanolithography with a scanning helium beam.Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena.27(4),L18-L20.
Lee, Chien-Lin,Cai, Jia-Syun,Chien, Sheng-Wei,Tsai, Kuen-Yu(2020).Investigation on helium ion beam lithography with proximity effect correction.Journal of Micro/Nanolithography, MEMS, and MOEMS.
Ramachandra, Ranjan,Griffin, Brendan,Joy, David(2009).A model of secondary electron imaging in the helium ion scanning microscope.Ultramicroscopy.109(6),748-757.

延伸閱讀