透過您的圖書館登入
IP:3.128.200.157
  • 學位論文

考慮多重邊界與模式時序限制下利用正規化解決緩衝器置入及尺寸設計問題

A Formal-Assisted Buffer Insertion and Gate Sizing Technique Considering Multi-Corner Multi-Mode Timing Constraints

指導教授 : 黃鐘揚

摘要


隨著製程科技進入超微米時代,互連延遲在電路中占了的極大的比例,而緩衝器置入技術是減少互連延遲最有效的技術之一。然而,當現有的緩衝器置入技術考慮製程邊界與不同操作模式的時序限制時,所有可能的緩衝器置入解決方案數量在運算的過程中將成指數成長。 在本篇論文中,我們提出一個三階段的緩衝器置入和尺寸設計技術,此技術利用正規化引擎的優點,同時也滿足多重邊界與模式的時序限制。實驗結果顯示,我們提出的技術在一個合理的運行時間中,平均可以減少關鍵路徑中 62.14% 的緩衝器面積。

並列摘要


Interconnect delay in the routed circuit becomes dominant as process technology goes into deep submicron range. Buffer insertion is one of the most effective techniques to reduce the interconnect delay. However, when multiple operation modes and process corners are taken into consideration, the number of possible solutions of existing works grows exponentially. In this work, we present a 3-phase buffer insertion and sizing technique taking the advantage of formal engine while the multi-corner multi-mode (MCMM) timing constraints are satisfied at the same time. The experimental results show that our approach can achieve 62.14% buffer area reduction on the most critical path on average within a reasonable runtime.

並列關鍵字

buffer insertion buffer sizing formal multi-mode multi-corner

參考文獻


[1] L.P.P.P. van Ginneken, “Buffer placement in distributed RC-tree networks for minimal Elmore delay,” International Symposium on Circuits and Systems, pp.865-868, 1990.
[3] J. Lillis, C. K. Cheng, and T. -T. Y. Lin, “Optimal wire sizing and buffer insertion for low power and a generalized delay model,” IEEE Journal of Solid State Circuits, vol.31, no.3, pp.437-447, Mar. 1996.
[4] Chris C. N. Chu and D. F. Wong, “Simultaneous driver sizing and buffer insertion using a delay penalty estimation technique,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, no.1, pp.136-141, Jan. 2004.
[5] Z. Li and W. Shi, “An O(bn2) time algorithm for optimal buffer insertion with b buffer types,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp.484-489, 2006.
[6] I. -M. Liu, A. Aziz, D. F. Wong, and H. Zhou, “An efficient buffer insertion algorithm for large networks based on Lagrangian relaxation,” International Conference on Computer Design, pp.210-215, 1999.

延伸閱讀