透過您的圖書館登入
IP:3.128.78.41
  • 學位論文

考慮二圖樣微影感知和自我引導組裝模板配置之細部繞線

DSA-Friendly Detailed Routing Considering Double Patterning and DSA Template Assignments

指導教授 : 張耀文

摘要


隨著集成電路製程技術不斷地縮小,密集的通孔分佈對先進製程和微影技術造成了嚴峻的挑戰。如果單獨考慮用多圖樣微影感知技術來解決通孔密集分佈所造成的一些問題,就需要比較多的光罩數量,然而光罩數量的增加會大大的增加製造成本,進而影響產率。自我引導組裝技術在通孔的打印中表現出了很大的優勢。他通過有限的引導模板,將一些通孔集合在一個模板上,打印出分辨率比較高的通孔。因此,結合自我引導組裝與二圖樣微影感知不僅可以顯著減少通孔層需要的光罩數量,並且可以緩解有效引導模板數量少的限制。在本論文中,基於衝突和兼容圖樣模型,我們提出了結合自我引導組裝和二圖樣微引感知的細部繞線演算法,我們首先設計了線路規劃演算法來減少高密度通孔分佈的區域,接著,我們使用衝突和兼容圖模型來表征自我引導組裝和二圖樣微引感知的特性以便於引導出更好的細部繞線。在細部繞線的過程中,如果存在周圍通孔分佈較多的通孔,我們會先決定這個通孔的引導模板來防止更多的通孔聚集。實驗結果顯示,我們提出的細部繞線演算法在可以有效的減少違反最小間隔的通孔數量,並且使用較少的通孔以及較短的總繞線長度。

並列摘要


As integrated circuit (IC) technology nodes continue to shrink, dense via distribution becomes a severe challenge in both manufacturability and lithography, requiring multiple masks to avoid spacing violations in a via layer. Meanwhile, directed self-assembly (DSA) technique shows great advantages in via printing by employing feasible guiding templates. Combining DSA with double patterning lithography (DPL) can significantly reduce the number of masks for via layers, as well as guiding templates, which is always limited in quantity. In this thesis, we propose a detailed routing algorithm which considers DSA with DPL based on a conflict and compatibility graph model. A net planning algorithm is developed to reduce via-dense areas and decide a prerouting nets order, while the graph model is employed to capture the feature of DSA and DPL to better guide detailed routing. Besides, DSA grouping would be performed in critical vias during detailed routing to avoid attracting more vias inserted in surrounding grids, so as to reduce via-spacing violations. Experimental results demonstrate that our proposed routing algorithm can effectively minimize the number of via spacing violations, with an even smaller total via number

參考文獻


[1] Y. Badr, A. Torres, and P. Gupta. Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/vias. In Proceedings of ACM/IEEE Design Automation Conference, pages 1–6, 2015.
[2] J.-D. Cho, S. Raje, and M. Sarrafzadeh. Fast approximation algorithms on maxcut, k-coloring, and k-color ordering for VLSI applications. IEEE Transactions on Computers, 47(11):1253–1266, 1998.
[3] Y. Du, Z. Xiao, M. D. Wong, H. Yi, and H.-S. P. Wong. DSA-aware detailed routing for via layer optimization. In Proceedings of SPIE, volume 9049, pages 90492J–90492J–8, 2014.
[4] S.-Y. Fang, Y.-X. Hong, and Y.-Z. Lu. Simultaneous guiding template optimization and redundant via insertion for directed self-assembly. In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pages 410–417, 2015.
[5] J. Finders, M. Dusa, B. Vleeming, H. Megens, B. Hepp, M. Maenhoudt, S. Cheng, and T. Vandeweyer. Double patterning for 32nm and below: an update. In Proceedings of SPIE, volume 6924, pages 692408–692408–12, 2008.

延伸閱讀