透過您的圖書館登入
IP:3.15.221.136
  • 學位論文

特殊應用積體電路及現場可程式化邏輯陣列之緩衝器嵌入方法

Buffer Insertion for ASIC and FPGA Designs

指導教授 : 麥偉基

摘要


With the technology process going into nanometer regime, the interconnect delay is a crucial determining factor of circuit performance in modern VSLI designs. Buffer insertion is one of the effective technique to improve the circuit performance. We explore two different problems related to buffer insertion in ASIC and FPGA designs in this thesis. In modern ASIC designs, a large number of buffers need to be inserted to a large number of nets to improve performance and/or signal integrity. These buffers increase the power consumption and occupy silicon area. So it is important to avoid over-buffering. Buffering spaces are more limited in the denser regions of a layout. Thus it is also necessary to reserve the more premium buffering spaces in the denser regions until they are absolutely needed during physical synthesis. We present a buffer insertion algorithm with consideration of both power dissipation and design density under a given timing constraint for ASIC designs. We propose two formulations for this multi-objective problem and a heuristic solver using Lagrangian relaxation technique. In FPGAs, signals passing through a long wire do not always exit at the end of the wire. Therefore, the expected delay other than end to end delay of the long wire should be optimized. We adopt a dynamic programming based approach to insert buffers to minimize the expected delay for FPGA designs and a Lagrangian relaxation based method to achieve low power and timing closure. Experiments for ASIC buffering show that our method can significantly improve the overall design density while achieving low power to obtain better timing closure. The experiments for FPGA buffering show that our method can improve the expected delay by up to 17% compared to the buffered interconnect which only considers end to end delay optimization.

並列摘要


With the technology process going into nanometer regime, the interconnect delay is a crucial determining factor of circuit performance in modern VSLI designs. Buffer insertion is one of the effective technique to improve the circuit performance. We explore two different problems related to buffer insertion in ASIC and FPGA designs in this thesis. In modern ASIC designs, a large number of buffers need to be inserted to a large number of nets to improve performance and/or signal integrity. These buffers increase the power consumption and occupy silicon area. So it is important to avoid over-buffering. Buffering spaces are more limited in the denser regions of a layout. Thus it is also necessary to reserve the more premium buffering spaces in the denser regions until they are absolutely needed during physical synthesis. We present a buffer insertion algorithm with consideration of both power dissipation and design density under a given timing constraint for ASIC designs. We propose two formulations for this multi-objective problem and a heuristic solver using Lagrangian relaxation technique. In FPGAs, signals passing through a long wire do not always exit at the end of the wire. Therefore, the expected delay other than end to end delay of the long wire should be optimized. We adopt a dynamic programming based approach to insert buffers to minimize the expected delay for FPGA designs and a Lagrangian relaxation based method to achieve low power and timing closure. Experiments for ASIC buffering show that our method can significantly improve the overall design density while achieving low power to obtain better timing closure. The experiments for FPGA buffering show that our method can improve the expected delay by up to 17% compared to the buffered interconnect which only considers end to end delay optimization.

參考文獻


[1] C. J. Alpert and A. Devgan,“Wire segmenting for improved buffer insertion”, In Proc.
of IEEE/ACM Design Automation Conference, pp.588-593, 1997
[3] C. J. Alpert, M. Hrkic, and S. T. Quay, “A fast algorithm for identifying good buffer
Design, pp.47-52, 2004
[4] H. B. Bakoglu,“Circuits, Interconnects, and Packaging for VLSI”, Addison-Wesley,

延伸閱讀