透過您的圖書館登入
IP:3.149.26.176
  • 學位論文

功率消耗與測試墊限制下之三維積體電路測試排程問題研究

3D IC Test Scheduling under Power and Test Pads Constraints

指導教授 : 黃世旭

摘要


隨著晶片的設計越來越複雜,三維積體電路(Three-Dimensional Integrated Circuits, 3D ICs)逐漸變成一種設計趨勢;然而在測試三維積體電路方面也相較於傳統電路複雜。與傳統的系統晶片測試相同,三維積體電路的功能核心會經由TAM Bus連接至外部測試機台(ATE)來做測試,不過在3D IC中,測試會分成兩個部分:pre-bond與post-bond測試,因此TAM Bus的分配與測試墊(Test Pad)的運用會更加複雜。因此在測試墊的數目與功率消耗限制下,如何有效運用TAM Bus分配以最小化整體測試排程的時間是我們研究目標。 在本篇文章中,我們提出整數線性規劃(Integer Linear Programming)方法來達到在功率消耗與測試墊數目的限制下,最小化整體測試時間。與過去的文獻比較,我們的方法能更有效的減少測試墊的數目並且同時最小化三維積體電路整體測試時間。

並列摘要


As the system-on-chip (SoC) design complexity continue to increase, three-dimensional integrated circuit (3D IC) design has become an industry trend. However, the testing of a 3D IC is more difficult than the testing of a 2D IC. As the same as a 2D IC, the core of a 3D IC must use the TAM Bus to connect with the automatic test equipment (ATE). But in for a 3D IC, its testing includes two parts: pre-bond testing and post-bond testing. The decision on the assignment of test access mechanism (TAM) Bus and the number of test pads of each layer becomes more complicated. Thus, our objective is to minimize the total test time of the 3D IC under the constraints on power consumption and the constraints on the number of test pad. In this thesis, we propose an integer linear programming (ILP) approach to perform the 3D IC test scheduling under test pads and peak power constraints. Different from previous works, our approach can minimize both test application time and the number of required test pads. Experimental results show that our approach achieves better results than previous works.

參考文獻


[32] S.H. Huang, C.H. Chiu, C.H. Cheng, T.J. Wang, "Simultaneous Test Scheduling and TAM Bus Wire Assignment for Temperature-Dependent Core-Based SoC Testing ", International Journal of Electrical Engineering (IJEE), vol. 23, no. 4, pp. 53-62, 2016.
[1] Millican, Spencer K., and Kewal K. Saluja. "A test partitioning technique for scheduling tests for thermally constrained 3D integrated circuits." 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems. IEEE, 2014.
[2] K. Shen, D. Xiang and Z. Jiang, “Dual-Speed TAM Optimization of 3D SoCs for Mid-bond and Post-bond Testing”, Proc. of IEEE ATS, pp. 7-12, 2014.
[3] L. Jiang, et al., “Integrated Test-Architecture Optimization and Thermal-Aware Test Scheduling for 3D SoCs under Pre-Bond Test-Pin-Count Constraint”, IEEE Trans. on VLSI Systems, vol. 20, no. 9, 2012.
[4] L. Jiang, H. Lin and X. Qiang, “Test Architecture Design and Optimization for Three-Dimensional SoCs”, Proc. of IEEE DATE, pp. 220-225, 2009.

延伸閱讀