帳號:guest(3.21.76.0)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):駱致彥
作者(外文):Lo, Chih-Yen
論文名稱(中文):單晶片系統在三維積體電路上之測試架構與方法
論文名稱(外文):SOC Test Architecture and Method for 3D ICs
指導教授(中文):吳誠文
指導教授(外文):Wu, Cheng-Wen
學位類別:博士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:913932
出版年(民國):99
畢業學年度:98
語文別:英文
論文頁數:85
中文關鍵詞:三維積體電路測試測試架構單晶片測試穿矽孔測試測試整合無線測試系統
外文關鍵詞:3D-IC testtest architectureSOC testTSV testtest integrationwireless test system
相關次數:
  • 推薦推薦:0
  • 點閱點閱:110
  • 評分評分:*****
  • 下載下載:8
  • 收藏收藏:0
中文摘要

藉著製程技術持續地微縮,半導體產業在以往的數十年裡,憑著維持摩爾定律之姿,不斷地滿足市場對於電子產品的在功能性及其效能上毫無止境的需求。然而直到最近,它們也不得不面臨在CMOS技術上持續微縮的瓶頸與在研發單晶片系統上成本的持續高漲。牽涉到橫跨整體電路之長導線的信號延遲及功率消耗,儼然成為在合理成本下持續提升電路效能的主要障礙。藉著在垂直方向堆疊晶片且彼此間使用穿矽孔作訊號連結,三維整合技術有效地解決上述問題。然而,該項技術距離其在商品上的應用,仍有些障礙等待解決。其中,與三維積體電路測試相關的工具與方法,被視為其中首要的挑戰。

在本篇論文中,我們聚焦在三維積體電路中測試整合的相關問題。我們提出一具備彈性與可延展性的測試架構,以支援三維積體電路在其堆疊前後所需的測試。該架構被稱為TACS-3D[1]。為要降低三維積體電路的測試成本,我們在所提的測試架構下,針對測試所需的TAM與控制訊號與予最佳化,以降低所使用之晶圓測試探針與穿矽孔的數目。就三維積體電路在堆疊前的測試而言,TACS-3D繼承了我們從前為了測試單晶片系統中嵌入式核心電路而研發之測試架構(TACS)的一切優點。除了針對傳統stuck-at錯誤的測試圖樣,造成時間延遲相關之瑕疵也一併考慮在它所支援的測試特性中。因為TACS本身針對其所需之測試探針數目就已經藉著共用一些控制訊號以進行最佳化,所以
TACS-3D在三維積體電路堆疊前,以晶圓探測尋找KGD時,照樣可以減少額外所需用以承載晶圓測試之探針的面積。為要在三維積體電路堆疊後的測試中,有效地重複使用其用在堆疊前的測試相關電路,我們提出了一套創新的機制以聯絡座落在三維積體電路的各層中間之測試電路。在所提出的機制之下,三維積體電路的層數並不影響整體所需之控制測試訊號的數目。並且讓大部分的穿矽孔在進行測試時,保留作為傳輸測試資料和結果之用。所以在這情況下,我們可以期待有較短的整體測試時間。此外,藉著整合許多異質性的可測試性設計方法(諸如為著在三維積體電路中的邏輯電路、記憶體電路、以及穿矽孔的測試),我們減輕了在三維積體電路堆疊後的測試中,可能出現之測試探針與測試穿矽孔擁塞的情形。

TACS-3D不僅在邏輯電路的測試上維持原本TACS的優勢,更支援了與自我測試電路相關的測試方法(例如:記憶體自我測試電路)。此外,憑著過去我們將支援邏輯自我測試電路的TACS,移轉到低成本的HOY無限測試系統之相關經驗,TACS-3D也照樣可以具備相關的特性。另外,針對製造三維積體電路過程中所引進的新製程,有可能在垂直的連接線上造成新的瑕疵。我們重複使用1500測試包裹電路來對穿矽孔進行相關的測試。藉著將接連到垂直連接線的所有WBR cell串接成為UTC或是LTC,我們可以減少額外為穿矽孔測試所需增加的測試電路。

最後,我們以一個具有四個加密處理器的網路安全處理器為例子,討論當二維電路轉換為三維電路時在測試方面的影響。藉著採用所提出的TACS-3D測試架構,不管是在面積或是測試時間上,都僅僅增加小於0.4%的額外代價。此外在第二個實驗裡,在使用相同數目的測試穿矽孔與測試探針之條件下,比起直接將各層的控制電路以穿矽孔連接到其最底層之輸出入端的方法,TACS-3D最多可以達到縮短54%的整體測試時間。
Abstract

By continuous technology scaling, the semiconductor industry has kept up with the Moore’s Law
for decades to satisfy the endless demands in both functionality and performance of electronic
devices. But until recently, they have to face the bottleneck of CMOS technology scaling and
soaring system-on-chip (SOC) development cost. The delay and power consumption issues of
global interconnects become the main barriers of further performance progress under allowable
cost. By stacking dies vertically and connecting them with through-silicon-vias (TSVs), threedimensional
(3D) integration solves this problem effectively. However, there are still obstacles
to its commercial application. Tools and methodologies for 3D-IC testing are regarded as the
number-one challenge.

We focus on the problem of test integration in 3D ICs. A flexible and scalable architecture [1]
supporting both pre-bond [2] and post-bond tests for core-based 3D ICs is proposed. It is named
as Test Access Control System for 3D ICs (TACS-3D) [1]. In order to reduce the testing cost
of the 3D IC, not only test access mechanism (TAM) but also the control signals are optimized
to reduce the usage of test pins and TSVs for 3D-IC testing. For the pre-bond test in the 3D
IC, it is similar to traditional SOC testing. TACS-3D inherits the advantage of our previously
developed test architecture named Test Access Control System (TACS) to provide test access and
related control for embedded core testing. In addition to the patterns for traditional stuck-at faults,
the timing related defects are also considered in its test features. Since TACS has optimized the
test pin usage by sharing the required test control signals, TACS-3D can minimize the extra pads
required for finding Known-Good Dies (KGDs) in wafer probing. To highly reuse pre-bond test
circuits in the post-bond test stage, an innovative linking mechanism is proposed for sharing TSVs
and test pins between embedded cores in multiple layers. No matter how many layers are there
in the 3D IC, only 5-bit signals are sufficient for test control. A large portion of TSVs and test
pins can be reserved for data application; therefore smaller total test time is expected. In addition,
integration of heterogeneous DFT methods for logic, memory, and TSV testing in the 3D IC further
alleviates the congestion of test pins and TSVs for post-bond test.

For logic testing, TACS-3D retains the robustness of TACS that features the IEEE 1500 Wrapper
Control, hierarchical test control, at-speed test (for transition faults), functional and scan test,
heterogeneous test protocols. In addition, memory built-in self-test (MBIST) is taken as examples
to support BIST-based methods in TACS-3D. Furthermore, the way to support logic built-in
self-test (LBIST) can be applied through our proposed low-cost testers, i.e., the HOY wireless test
system. For the newly introduced defects in the bonding processes during 3D-IC construction,
TACS-3D also reuses 1500 Test Wrapper to do TSV testing. All the Wrapper Boundary Register
(WBR) cells of the embedded cores whose corresponding inputs (outputs) are from (to) the upper
layer of the 3D IC through TSVs are reconfigured to be the Upper TSV chains. In a similar way,
all of them related to the lower layer of the 3D IC through TSVs are reconfigured to be the Lower
TSV Chains. These two types of scan chains are only configured during the TSV testing. All above
techniques contribute to the reduction of TSVs and test pins for 3D-IC testing.

A test chip composed of a Network-Security-Processor (NSP) platform with four Crypto Processors
(CPs) is taken as an example. We discuss the related effects about testing when transferring
design from 2D to 3D. By adopting the proposed test architecture for 3D IC, less than 0.4% test
overhead increases in both area and time between 2D and 3D test cases. The experimental results
also reveal up to 54% test time improvement compared with the method of direct access.
Contents

1 Introduction 1
1.1 Motivation for Stacking Chips Vertically . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Vertical Interconnect Technologies for 3D Integration . . . . . . . . . . . . . . . . 2
1.2.1 Wire Bonded Technology . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.2.2 Microbump Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.2.3 Contactless Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
1.2.4 Through-Silicon Via Technology . . . . . . . . . . . . . . . . . . . . . . . 6
1.3 Organization of the Thesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

2 Review of Embedded Core Testing in Traditional SOCs 15
2.1 Overview of IEEE 1500 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.2 TACS – Optimizing Embedded Core Testing in Traditional SOCs . . . . . . . . . . 17
2.2.1 IEEE 1500 Test Wrapper Used in TACS . . . . . . . . . . . . . . . . . . . 18
2.2.2 Block Diagram of Test Controller in TACS . . . . . . . . . . . . . . . . . 20
2.2.3 Instruction Set of IR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.2.4 TAM Architecture in TACS . . . . . . . . . . . . . . . . . . . . . . . . . 23
2.2.5 Operations of Applying Test in TACS . . . . . . . . . . . . . . . . . . . . 24
2.3 Supporting Delay Test in TACS . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26
2.3.1 Launch-off-Shift & Launch-off-Capture . . . . . . . . . . . . . . . . . . . 27
2.3.2 Facilitate Structured Delay Test in TACS . . . . . . . . . . . . . . . . . . 28
2.3.3 WBR Cell for Delay Test and the Related State Transition of Test Controller
in TACS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
2.3.4 A Modified Flow of TACS to Apply Delay Test . . . . . . . . . . . . . . . 29
2.4 Supporting Logic BIST in TACS . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
2.4.1 Logic BIST Operation Flow . . . . . . . . . . . . . . . . . . . . . . . . . 31
2.4.2 1500 Test Wrapper to Support Logic BIST . . . . . . . . . . . . . . . . . 32
2.4.3 LBIST Results Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33
2.4.4 Modified Test Controller for Logic BIST . . . . . . . . . . . . . . . . . . 35
2.5 Test Application in Ultra-Low Cost Tester – HOY . . . . . . . . . . . . . . . . . . 35
2.5.1 HOY Wireless Test System . . . . . . . . . . . . . . . . . . . . . . . . . . 36
2.5.2 IO Interface of Data Exchange Unit . . . . . . . . . . . . . . . . . . . . . 36
2.5.3 HOY Test Wrapper for Logic BIST . . . . . . . . . . . . . . . . . . . . . 38
2.5.4 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

3 Challenges in 3D-IC Testing 42
3.1 New Challenges in 3D-IC Testing . . . . . . . . . . . . . . . . . . . . . . . . . . 43
3.1.1 Known-Good-Die Problems in 3D IC . . . . . . . . . . . . . . . . . . . . 43
3.1.2 Test Integration in 3D IC . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
3.1.3 TSV Interconnect Test . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
3.2 Review of Previous Work in 3D-IC Testing . . . . . . . . . . . . . . . . . . . . . 45
3.2.1 Scan-Island Based Test Architecture . . . . . . . . . . . . . . . . . . . . . 45
3.2.2 Test Time Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
3.3 Motivation of Our Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

4 Test Architecture for Test Integration in 3D IC 53
4.1 TACS-3D – Test Integration of 3D IC . . . . . . . . . . . . . . . . . . . . . . . . 53
4.1.1 Test Architecture for 3D-IC Testing . . . . . . . . . . . . . . . . . . . . . 54
4.1.2 Instruction Set of IR in TACS-3D . . . . . . . . . . . . . . . . . . . . . . 57
4.1.3 Flow of Test Application in TACS-3D . . . . . . . . . . . . . . . . . . . . 60
4.2 Configuration and Scheduling in Pre-/Post- Bond Tests of 3D ICs . . . . . . . . . . 62
4.3 TSV Test Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
4.4 BIST-Based Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
4.4.1 Memory BIST . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

5 Experimental Results 69
5.1 Test Case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
5.2 Comparison between 2D and 3D Test Cases . . . . . . . . . . . . . . . . . . . . . 71
5.3 Analysis of Test Interface Configuration in Post-Bond Test . . . . . . . . . . . . . 74

6 Conclusions and Future Work 77
6.1 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77
6.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Bibliography

[1] C.-Y. Lo, Y.-T. Hsing, L.-M. Denq, and C.-W.Wu, “SOC test architecture and method for 3D
ICs”, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, June 2010
(accepted).
[2] D. L. Lewis and H.-H. S. Lee, “A scan-island based design enabling pre-bond testability in
die-stacked microprocessors”, in Proc. Int’l Test Conf. (ITC), 2007, pp. 1–8.
[3] W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. D.
Franzon, “Demystifying 3D ICs: The pros and cons of going vertical”, IEEE Design & Test
of Computers, vol. 22, no. 6, pp. 498–510, Nov.-Dec. 2005.
[4] IEEE, “IEEE 1500 standard for embedded core test (SECT)”,
http://grouper.ieee.org/groups/1500/, 2002.
[5] C.-Y. Lo, C.-H. Wang, K.-L. Cheng, J.-R. Huang, C.-W. Wang, S.-M. Wang, and C.-W. Wu,
“STEAC: A platform for automatic SOC test integration”, IEEE Trans. on VLSI Systems, vol.
15, no. 5, pp. 541–545, May 2007.
[6] C.-C. Chi, C.-Y. Lo, T.-W. Ko, and C.-W. Wu, “Test integration for SOC supporting very
low-cost testers”, in Proc. 18th IEEE Asian Test Symp. (ATS), Taichung, Nov. 2009.
[7] J. Burns, L. Mallrach, C. Keast, C. Lewis, A. Loomis, K. Warner, and P. Wyatt, “Threedimensional
integrated circuits for low-power, high-bandwidth systems on a chip”, in Proc.
IEEE Int’l Solid-State Cir. Conf. (ISSCC), 2001, pp. 268–269.
[8] E. M. Salinars, “Application of three-dimensional circuit integration to global clock distribution”,
Master Thesis, Dept. Electrical Engineering and Computer Science, Massachusetts
Institute of Technology, Lexington MA, USA, Jan. 2004.
[9] E. J. Marinissen and Y. Zorian, “Testing 3D chips containing through-silicon vias”, in Proc.
Int’l Test Conf. (ITC), 2009, pp. 1–11.
[10] Yole Development, “Advanced packaging: 3D IC, WLP, & TSV: 3DIC & TSV interconnects
- 2010 (downloadable parts)”, www.yole.fr, 2010.
[11] H.-H. S. Lee and K. Chakrabarty, “Test challenges for 3D integrated circuits”, IEEE Design
& Test of Computers, vol. 26, no. 5, pp. 26–35, Sep.-Oct. 2009.
[12] IEEE, IEEE 1149.1 Standard Test Access Port and Boundary-Scan Architecture, IEEE Standards
Department, Piscataway, May 1990.
[13] K.-L. Cheng, J.-R. Huang, C.-W. Wang, C.-Y. Lo, L.-M. Denq, C.-T. Huang, C.-W. Wu, S.-
W. Hung, and J.-Y. Lee, “An SOC test integration platform and its industrial realization”, in
Proc. Int’l Test Conf. (ITC), Charlotte, Oct. 2004, pp. 1213–1222.
[14] J.-J. Liou, C.-T. Huang, C.-W.Wu, C.-C. Tien, C.-H.Wang, H.-P. Ma, Y.-Y. Chen, Y.-C. Hsu,
L.-M. Deng, C.-J. Chiu, Y.-W. Li, and C.-M. Chang, “A prototype of a wireless-based test
system”, in Proc. IEEE Int’l SOC Conference SOCC, Sept. 2002, pp. 225–228.
[15] X. Wu, Y. Chen, K. Chakrabarty, and Y. Xie, “Test-access mechanism optimization for corebased
three-dimensional SOCs”, in Proc. IEEE Int’l Conf. on Computer Design (ICCD), Oct.
2008, pp. 212–218.
[16] P. Varma and S. Bhatia, “A structured test reuse methodology for core-based system chips”,
in Proc. Int’l Test Conf. (ITC), 1998, pp. 294–302.
[17] S. K. Goel and E. J. Marinissen, “Cluster-based test architecture design for system-on-chip”,
in Proc. IEEE VLSI Test Symp. (VTS), Monterey, Apr. 2002, pp. 259–264.
[18] L. Jiang, L. Huang, and Q. Xu, “Test architecture design and optimization for threedimensional
SoCs”, in Proc. Conf. Design, Automation, and Test in Europe (DATE), Nice,
Apr. 2009, pp. 220–225.
[19] R. R. Schaller, “Moore’s law: Past, present, and future”, IEEE Spectrum, vol. 34, no. 6, pp.
52–59, June 1997.
[20] K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, “3-D ICs: A novel chip design for
improving deep-submicrometer interconnect performance and systems-on-chip integration”,
Proc. of the IEEE, vol. 89, no. 5, pp. 602–633, May 2001.
[21] R. Weerasekera, L.-R. Zheng, D. Pamunuwa, and H. Tenhunen, “Extending systems-on-chip
to the third dimension: Performance, cost and technological tradeoffs”, in Proc. IEEE/ACM
Int’l Conf. on Computer-Aided Design (ICCAD), 2007, pp. 212–219.
[22] C. H. Yu, “The 3rd dimension-more life for Moore’s Law”, in International Microsystems,
Packaging, Assembly Conference Taiwan, 2006, IMPACT 2006, Oct. 2006, pp. 1–6.
[23] Y. Xie, G. H. Loh, B. Black, and K. Bernstein, “Design space exploration for 3D architectures”,
ACM Journal on Emerging Technologies in Computing Systems (JETC), vol. 2, no. 2,
pp. 65–103, Apr. 2006.
[24] A. Rahman and R. Reif, “System-level performance evaluation of tree-dimensional integrated
circuits”, IEEE Trans. on VLSI Systems, vol. 8, no. 6, pp. 671–678, Dec. 2000.
[25] R. M. Lea, I. P. Jalowiecki, D. K. Boughton, J. S. Yamaguchi, A. A. Pepe, V. H. Ozguz,
and J. C. Carson, “A 3-D stacked chip packaging solution for miniaturized massively parallel
processing”, IEEE Trans. on Advanced Packaging, vol. 22, no. 3, pp. 424–432, Aug. 1999.
[26] J. A. Minahan, A. Pepe, R. Some, and M. Suer, “The 3D stack in short form”, in Electronic
Components and Technology Conference, 1992, pp. 340–344.
[27] B. Black, D. W. Nelson, C. Webb, and N. Samra, “3D processing technology and its impact
on iA32 microprocessors”, in Proc. IEEE Int’l Conf. on Computer Design (ICCD), Oct. 2004,
pp. 316–318.
[28] K. Kanda, D. D. Antono, K. Ishida, H. Kawaguchi, T. Kuroda, and T. Sakurai, “1.27Gb/s/pin
3mW/pin wireless superconnect (WSC) interface scheme”, in Proc. IEEE Int’l Solid-State
Cir. Conf. (ISSCC), 2003, pp. 186–187.
[29] R. J. Drost, R. D. Hopkins, R. Ho, and I. E. Sutherland, “Proximity communication”, IEEE
Jour. of Solid-State Circuits, vol. 39, no. 9, pp. 1529–1535, Sept. 2004.
[30] S. Mick, J. Wilson, and P. Franzon, “4 Gbps high-density AC coupled interconnection”, in
Proc. IEEE Custom Integrated Circuits Conf. (CICC), 2002, pp. 133–140.
[31] H. Kurino and M. Koyanagi, “Technology for three dimensional integrated system-on-achip”,
in Proc. Int’l Conf. on Solid-State and Integrated Circuits Technology (ICSICT), 2004,
pp. 599–602.
[32] J. A. Burns, B. F. Aull, C. K. Chen, C.-L. Chen, C. L. Keast, J. M. Knecht, V. Suntharalingam,
K. Warner, P. W. Wyatt, and D.-Y. W. Yost, “A wafer-scale 3-D circuit integration
technology”, IEEE Trans. on Electron Device, vol. 53, no. 10, pp. 2507–2516, Oct. 2006.
[33] S. Spiesshoefer and L. Schaper, “IC stacking technology using fine pitch, nanoscale through
silicon vias”, in Electronic Components and Technology Conference, 2003, pp. 631–633.
[34] J. Van Olmen, A. Mercha, G. Katti, C. Huyghebaet, J. Van Aelst, E. Seppala, Z. Chao,
S. Armini, J. Vaes, R. C. Teixeira, M. Van Cauwenberghe, P. Verhemeldonck, A. Jourdain,
W. Ruythooren, M. de Potter de ten Broeck, A. Opdebeeck, T. Chiarella, B. Parvais, I. Debusschere,
T.Y. Hoffmann, B. De Wachter, W. Dehaene1, M. Stucchi, M. Rakowski, Ph.
Soussan, R. Cartuyvels, E. Beyne, S. Biesemans, and B. Swinnen, “3D stacked IC demonstration
using through silicon via first approach”, in Int’l Electron Device Meeting (IEDM),
Dec. 2008, pp. 1–4.
[35] C.-C. Chi, C.-W. Wu, and J.-F. Li, “A low-cost and scalable test architecture for multi-core
chips”, in Proc. IEEE European Test Symp. (ETS), May 2010 (to appear).
[36] IEEE, “IEEE 1500 standard for embedded core test (SECT)”,
http://grouper.ieee.org/groups/1500/, 2005.
[37] S. Natarajan, M. A. Breuer, and S. K. Gupta, “Process variations and their impact on circuit
operation”, in Proc. IEEE Int’l Symp. on Defect and Fault Tolerance in VLSI Systems (DFT),
Nov. 1998, pp. 73–81.
[38] J. Savir, “Skewed-load transition test: Part I, calculus”, in Proc. Int’l Test Conf. (ITC), 1992,
pp. 705–713.
[39] J. Savir and S. Patil, “On broad-side delay test”, in Proc. IEEE VLSI Test Symp. (VTS), Apr.
1994, pp. 284–290.
[40] P.-K. Chen, Y.-T. Hsing, and C.-W.Wu, “On feasibility of HOY—a wireless test methodology
for VLSI chips and wafers”, in Proc. Int’l Symp. on VLSI Design, Automation, and Test (VLSIDAT),
Hsinchu, Apr. 2006, pp. 243–246.
[41] SynTest Technologies Inc., “TurboBIST Logic Users Manual”, http://www.syn.com/, 2006.
[42] A. Ikeda, T. Kuwata, S. Kajiwara, T. Fujimura, H. Kuriyaki, R. Hattori, H. Ogi, K. Hamaguchi,
and Y. Kuroki, “Design and measurements of test element group wafer thinned to
10μm for 3D system in package”, in Proc. Int’l Conf. on Microelectronic Test Structures,
Mar. 2004, pp. 161–164.
[43] D. Perry, U. Ray, S. Gu, M. Nakamoto,W. Sy, K.Wang,W. Ruythooren, Y. Yang, I. DeWolf,
R. C. Teixeira, M. Gonzalez, V. Simons, C. J. Berry, K. Lee, J. Burggraf, and S. Pargfrieder,
“Impact of thinning and packaging on a deep sub-micron CMOS product”, in DATE’09 Friday
Workshop on 3D IC Integration Electronic Digest, Nice, Apr. 2009, p. 282.
[44] D. L. Lewis and H.-H. S. Lee, “Test strategies for 3D die-stacked integrated circuits”, in
DATE’09 Friday Workshop on 3D IC Integration Electronic Digest, Nice, Apr. 2009, pp.
149–153.
[45] Y. Zorian, E. J. Marinissen, and S. Dey, “Testing embedded-core-based system chips”, IEEE
Computer, vol. 32, no. 6, pp. 52–60, June 1999.
[46] C.-W. Wu, J.-F. Li, and C.-T. Huang, “Core-based system-on-chip testing: Challenges and
opportunities”, Jour. of Chinese Institute of Electrical Engineering, vol. 8, no. 4, pp. 335–353,
Nov. 2001.
[47] S. K. Goel and E. J. Marinissen, “Effective and efficient test architecture design for SOCs”,
in Proc. Int’l Test Conf. (ITC), Baltimore, Oct. 2002, pp. 529–538.
[48] Y. Deng and W. P. Maly, “2.5-dimensional VLSI system integration”, IEEE Trans. on VLSI
Systems, vol. 13, no. 6, pp. 668–677, June 2005.
[49] E. Beyne, “3D system integration technologies”, in Proc. Int’l Symp. on VLSI Technology,
Systems, and Applications (VLSI-TSA), Apr. 2006, pp. 1–9.
[50] M. Koyanagi, T. Fukushima, and T. Tanaka, “Three-dimensional integration technology using
self-assembly technique and super-chip integration”, in International Interconnect Technology
Conference, Burlingame, CA, June 2008, pp. 10–12.
[51] L.-M. Denq and C.-W. Wu, “A hybrid BIST scheme for multiple heterogeneous embedded
memories”, in Proc. 16th IEEE Asian Test Symp. (ATS), Beijing, Oct. 2007.
[52] K.-L. Cheng, M.-F. Tsai, and C.-W. Wu, “Neighborhood pattern-sensitive fault testing and
diagnostics for random-access memories”, IEEE Trans. on Computer-Aided Design of Integrated
Circuits and Systems, vol. 21, no. 11, pp. 1328–1336, Nov. 2002.
[53] C.-F. Wu, C.-T. Huang, K.-L. Cheng, and C.-W. Wu, “Fault simulation and test algorithm
generation for random access memories”, IEEE Trans. on Computer-Aided Design of Integrated
Circuits and Systems, vol. 21, no. 4, pp. 480–490, Apr. 2002.
[54] J.-C. Yeh, K.-L. Cheng, Y.-F. Chou, and C.-W. Wu, “Flash memory testing and built-in selfdiagnosis
with march-like test algorithms”, IEEE Trans. on Computer-Aided Design of Integrated
Circuits and Systems, vol. 26, no. 6, pp. 1101–1113, June 2007.
[55] H.-S. Hsu, J.-R. Huang, K.-L. Cheng, C.-W. Wang, C.-T. Huang, C.-W. Wu, and Y.-L. Lin,
“Test scheduling and test access architecture optimization for system-on-chips”, in Proc. 11th
IEEE Asian Test Symp. (ATS), Guam, Nov. 2002, pp. 411–416.
[56] L.-T. Wang, C.-W. Wu, and X. Wen, Design for Testability: VLSI Test Principles and Architectures,
Elsevier (Morgan Kaufmann), San Francisco, 2006.
[57] A. J. van de Goor, Testing Semiconductor Memories: Theory and Practice, ComTex Publishing,
Gouda, The Netherlands, 1998.
[58] C.-F. Wu, C.-T. Huang, and C.-W. Wu, “RAMSES: a fast memory fault simulator”, in Proc.
IEEE Int’l Symp. on Defect and Fault Tolerance in VLSI Systems (DFT), Albuquerque, Nov.
1999, pp. 165–173.
[59] K.-J. Lin and C.-W. Wu, “Testing content-addressable memories using functional fault models
and March-like algorithms”, IEEE Trans. on Computer-Aided Design of Integrated Circuits
and Systems, vol. 19, no. 5, pp. 577–588, May 2000.
[60] C.-T. Huang, C.-F. Wu, J.-F. Li, and C.-W. Wu, “Built-in redundancy analysis for memory
yield improvement”, IEEE Trans. on Reliability, vol. 52, no. 4, pp. 386–399, Dec. 2003.
[61] C.-H. Wang, C.-Y. Lo, M.-S. Lee, J.-C. Yeh, C.-T. Huang, C.-W. Wu, and S.-Y. Huang, “A
network security processor design based on an integrated SOC design and test platform”, in
Proc. IEEE/ACM Design Automation Conf. (DAC), San Francisco, July 2006.
[62] C.-P. Su, C.-H. Wang, K.-L. Cheng, C.-T. Huang, and C.-W. Wu, “Design and test of a
scalable security processor”, in Proc. Asia and South Pacific Design Automation Conf. (ASPDAC),
Shanghai, Jan. 2005, pp. 372–375.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *