帳號:guest(3.15.222.195)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):林凱立
作者(外文):Lin, Kai-Li
論文名稱(中文):利用原始碼時間註解產生快速精準的交易層級計算模型
論文名稱(外文):A Source-Level Timing Annotation for Fast and Accurate TLM Computation Model Generation
指導教授(中文):蔡仁松
指導教授(外文):Tsay, Ren-Song
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:946315
出版年(民國):98
畢業學年度:97
語文別:英文
論文頁數:48
中文關鍵詞:交易系統層級
相關次數:
  • 推薦推薦:0
  • 點閱點閱:72
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
這篇論文提出了一個在原始碼內加入時間註解的方法,用來產生時脈數精準的交易系統層級軟體模型。當交易層級模型被廣泛應用在系統模擬以及模擬速度的改進,時間的準確度通常被忽略了。為了在系統層級得到一個可靠且準確的預測結果,我們提出了一個時間註解的方法,用來產生時脈術準確的交易系統層級模型,這個方法考慮了許多難以預測時間的部分,包含了處理器管線以及快取記憶體的部分。準確的交易系統模型幫助設計者在設計的前期做出正確的選擇。
1. Introduction
2. Related Work
3. Estimation Unit
4. Timing Annotation Method
4.1. Timing Annotation Flow
4.2. Basic Block Cycle Calculation
4.3. Boundary Effect Correction
4.4. Cache Access Adjusting
4.4.1. I-Cache
4.4.2. D-Cache
4.5. Annotation Algorithm
5. Experiments
6. Conclusion
[1] R. Domer, “Transaction Level Modeling of Computation,” Center for Embedded Computer Systems, Technical Report , 2006
[2] F. Stappert, “Prediction Pipeline and Cache Behavior of Hard Real-Time Programs,” in Proc. of the Workshop on Real-Time Systems, pp.80-86, 1997
[3] G. Schirner, A. Gerstlauer, and R. Domer, “Abstract, Multifaceted Modeling of Embedded Processors for System Level Design,” in Proc. of the conf. on Asia and South Pacific Design Automation Conference, pp. 384-389, 2007
[4] R. Ernst, and W. Ye, “Embedded program timing analysis based on path clustering and architecture classification,” in Proc. of the International Conference on Computer Aided Design, pp. 598-604, 1997
[5] P. Puschner, and C. Koza, “Calculating the Maximum Execution Time of Real-Time Programs,” in Proc. of the Journal of Real-Time Systems, pp. 159-176, Vol. 1, Number 2, 1989
[6] T. Mitra, A. Roychoudhury, and X. Li, " Timing analysis of embedded software for speculative processors," in Proc. of the International Symposium on Systems Synthesis, pp. 126-131, 2002
[7] S. Li, and S. Malik, "Performance Analysis of Embedded Software Using Implicit Path Enumeration," in Proc. of the Design Automation Conference, pp. 456-461, 1995
[8] D. Burger, and T. M. Austin, " The SimpleScalar tool set, version 2.0," in Proc. of the ACM SIGARCH Computer Architecture News, pp. 13-25, vol. 25, Issue 3, 1997
[9] M. T. Lazarescu and J. R. Bamimi, E. Harcourt, L. Lavagno, and M. Lajolo, "Compilationbased software performance estimation for system level design," in Proc. of the Workshop on High-Level Design Validation and Test Workshop, pp. 167-172, 2000
[10] T. Grötker, S. Liao, G. Martin, S. Swan, System Design with SystemC, Kluwer Academic Publishers, 2002
[11] A. V. Aho, R. Sethi, and J. D. Ullman, Compilers: Principles, Techniques, and Tools , Addison-Wesley Publisher, 1986
[12] Posadas. H, Herrera. F, Sanchez. P, Villar. E, and Blasco. F, “System-level performance analysis in SystemC,” in Proc. of the conf. on Design Automation and Test in Europe, pp. 378-383, vol. 1, 2004
[13] T. Meyerowitz, M. Sauermann, D. Langen, and A. Sangiovanni-Vincentelli, “Source-Level Timing Annotation and Simulation for a Heterogeneous Multiprocessor,” in Proc. of the conf. on Design Automation and Test in Europe, pp. 276-279, 2008
[14] Y. Hwang, S. Abdi, and D. Gajski, “Cycle-approximate retargetable performance estimation at the Transaction Level,” in Proc. of the conf. on Design Automation and Test in Europe, pp. 3-8, 2008
[15] J. Schnerr, O. Bringmann, and W. Rosenstiel, “Cycle Accurate Binary Translation for Simulation Acceleration in Rapid Prototyping of SoCs,” in Proc. of the conf. on Design Automation and Test in Europe, pp. 792-797, Vol. 2, 2005
[16] J. Schnerr, O. Bringmann, A.Viehl, and W. Rosenstiel, " High-performance timing simulation of embedded software," in Proc. of the Design Automation Conference, pp. 290-295, 2008
[17] J. Hennessy and D. Patterson, Computer Architecture: a quantitative approach, 4th ed., 2007
[18] M.R. Guthaus, J.S. Ringenberg, D. Ernst, T.M. Austin, T. Mudge, and R.B. Brown, “MiBench: A free, commercially representative embedded benchmark suite,” in Proc. of the Workshop on Workload Characterization, pp. 3-14, 2001
[19] E. Cheung, H. Hsieh, and F. Balarin, “Fast and Accurate Performance Simulation of Embedded Software for MPSoC,” in Proc. of the conf. on Asia and South Pacific Design Automation Conference, pp. 552-557, 2009
[20] A. Bouchhima, P. Gerin, and F. Petrot, “Automatic Instrumentation of Embedded Software for High Level Hardware/Software Co-Simulation,” in Proc. of the conf. on Asia and South Pacific Design Automation Conference, pp. 546-551, 2009
(此全文未開放授權)
電子全文
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *