帳號:guest(3.144.48.3)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):江東霖
作者(外文):Chiang, Tung-Lin
論文名稱(中文):A 1.25-Gb/s Fully Cell-Based All-Digital Clock and Data Recovery Circuit with Duty-Cycle-Tolerance DQFD
論文名稱(外文):一個具有容忍工作週期之頻率偵測器且運用在每秒十二點五億位元的全數位時脈與數據恢復電路
指導教授(中文):黃錫瑜
指導教授(外文):Huang, Shi-Yu
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:9561534
出版年(民國):98
畢業學年度:98
語文別:英文
論文頁數:44
中文關鍵詞:時脈與數據恢復電路
外文關鍵詞:CDRDQFDclock and data recovery
相關次數:
  • 推薦推薦:0
  • 點閱點閱:297
  • 評分評分:*****
  • 下載下載:8
  • 收藏收藏:0
In this thesis we present a 1.25-Gb/s fully cell-based all-digital clock and data recovery (ADCDR) circuit with a half-rate duty-cycle-tolerance digital quadricorrelator frequency detector (DQFD). By applying the half-rate CDR circuit, we only need to provide 625MHz clock to recover the 1.25-Gb/s incoming NRZ data stream. Simulation results show that the proposed half-rate DQFD is able to generate up and down signals for adjusting Digital Controlled Oscillator (DCO) correctly by using multiphase clock signals with duty-cycle from 14% to 85%. Besides, we use a frequency-enhancement circuit to apply binary search algorithm for frequency acquisition. The frequency acquisition is stopped when binary search ends or a frequency-lock signal is generated from the frequency-locked detector (LD). By a digital controlled oscillator (DCO) and an all-digital delay-locked loop (ADDLL), we are able to generate eight multiphase clock signals with different frequencies for different blocks in our proposed ADCDR circuit and use a half-rate Bang-Bang phase detector (PD) as our half-rate PD. Furthermore, we can track the phase between the incoming NRZ data stream and recovered clock correctly and reduce the jitter of recovered clock by using a suppressive filter. Finally, the recovered data is regenerated by a decision making circuit. The post-layout simulation results show the RMS jitter and perk-to-peak jitter of recovered clock are 5.37ps (0.336%UI) and 29.5ps (1.84%UI) respectively when we use the pseudorandom bit sequence (PRBS) of 27-1 to generate the incoming NRZ data stream. The average recovered clock frequency is 625.05MHz.
在這篇論文裡面,我們提出了一個具有容忍工作週期 (duty-cycle) 之半速率數位頻率偵測器 (digital quadricorrelator frequency detector) 且運用在每秒12.5億位元並利用TSMC 0.18μm之標準元件庫來設計的全數位時脈與數據恢復電路(clock and data recovery circuit)。藉由採用半速率的時脈與數據恢復電路架構,我們只需要提供頻率為625MHz的多相位時脈來恢復每秒12.5億位元的數據。實驗模擬結果顯示我們所設計的半速率數位頻率偵測器可以在多相位時脈的工作週期為14%到85%之下正確地產生給數位震盪器調整頻率的up和down訊號。除此之外我們還引用了一個增加頻率判斷可信度的電路,藉此採用二元式的頻率搜尋理論。當二元式的頻率搜尋理論結束或是頻率鎖定偵測器產生了鎖定的訊號時,頻率搜尋過程即終止。藉由搭配運用數位頻率震盪器與數位延時鎖定回路,我們可以產生不同頻率的多相位時脈給我們所設計之全數位時脈與數據恢復電路中的不同區塊來運用,並且使用了一個半速率的Bang-Bang相位偵測器來當作我們的半速率相位偵測器。此外我們並使用了一個具壓抑性的數位濾波器來正確地追蹤數據與被恢復的時脈之相位並且減少被恢復的時脈之抖動量。最後藉由一個取樣器來產生被恢復的數據。經過佈局後之實驗模擬結果顯示,當我們使用27-1之虛擬亂數數據序列 (Pseudorandom bit sequence) 來產生我們的隨機資料時,其方均根抖動量與峰對峰抖動量分別為5.42ps (0.34%UI)與29.5ps (1.84%UI)。恢復的平均時脈週期為625.05MHz.
Chapter 1 Introduction 1
1.1 Introduction 1
1.2 Motivation 1
1.3 Thesis Organization 2
Chapter 2 Preliminaries 3
Chapter 3 Circuit Architecture 9
3.1 Overall Architecture 9
3.2 Digitally Controlled Oscillator 10
3.3 Digital QuadriCorrelator Frequency Detector 12
3.4 ALL- Digital Controlled Delay-Locked Loop 18
3.5 Enhancement Circuit 19
3.6 The Frequency-Locked Detector Circuit 20
3.7 The Phase Detector 21
3.8 Suppressive Filter 22
3.9 The Duty-Cycle Corrector 24
3.10 The Decision Making Circuit 26
3.11 The Overall CDR Operation Flow 28
Chapter 4 Experimental Results 31
4.1 Pre-Layout Simulation Results 31
4.2 Post-Layout Simulation Results 33
Chapter 5 Conclusion 39
Bibliography 40
Acknowledgement 44
[1] B. Stilling, “Bit Rate and Protocol Independent Clock and Data Recovery,” Electron. Letters, vol. 36, no. 9, pp. 824–825, Apr. 2000.
[2] J. Savoj and Behzad Razavi, “A 10 Gb/s CMOS clock and data recovery circuit with a half-rate binary phase/frequency detector,” IEEE Journal of Solid-State Circuits, vol. 38, no. 1, pp. 13-21, Jan. 2003.
[3] Rong-Jyi Yang, Shang-Ping Chen, and Shen-Iuan Liu, “A 3.125-Gb/s Clock and Data Recovery Circuit for the 10-Gbase-LX4 Ethernet,” IEEE Journal of Solid-State Circuits, vol. 39, no. 8, pp. 1356-1360, Aug. 2004.
[4] Chih-Kong Ken Yang, Ramin Fariad-Rad, and Horwitz M. A., “A 0.5-μm CMOS 4.0-Gbit/s serial link transceiver with data recovery using oversampling,” IEEE Journal of Solid-State Circuits, vol. 33, pp. 713-722, May. 1998.
[5] Che-Fu Liang, Sy-Chyuan Hwu, and Shen-Luan Liu, “A 2.5Gbps Burst-Mode Clock and Data Recovery Circuit,” IEEE Asian Solid-State Circuit Conference, pp. 457-460, Nom. 2005.
[6] Chi-Shuang Oulee and Rong-Jyi Yang, “A 1.25Gbps All-Digital Clock and Data Recovery Circuit with Binary Frequency Acquisition,” IEEE Asia Pacific Conference on Circuits and Systems, pp. 680-683, Dec. 2008.
[7] Jun-Hong Weng, Meng-Ting Tsai, Jung-Mao Lin, and Ching-Yuan Yang, “A 1.8-Gb/s burst-mode clock and data recovery circuit with a 1/4-rate clock technique,” IEEE ISCAS, pp. 3073-3076, Sep. 2006.
[8] Alavi, S. M. and Shoaei, O., “A 2.5-Gb/s clock and data recovery circuit with a 1/4-rate linear phase detector,” International Conference on Microelectronics, pp. 59-62, Dec. 2005.
[9] Seong-Jun Song, Jaeseo Lee, Sung Min Park, and Hoi-Jun Yoo, “A 4-Gb/s Clock and Data Recovery Circuit Using Four-Phase 1/8-Rate Clock,“ IEEE Solid-State Circuits Conference, pp. 239-242, Sep. 2002.
[10] H. H. Chang, S. P. Chen, and S. I. Liu, “A shifted-averaging VCO with precise multiphase outputs and low jitter operation,” in Proc. 29th Eur. Solid-State Circuits Conf., pp. 647–650, Sept. 2003.
[11] W. S. T. Yan and H. C. Luong, “A 900-MHz CMOS low-phase-noise voltage-controlled ring oscillator,” IEEE Trans. Circuits Syst. II, vol. 48, pp. 216–221, Feb. 2001.
[12] T. Olsson and P. Nilsson, “A Fully Integrated Standard-Cell Digital PLL,” IEEE Electron. Lett., vol. 37, pp. 211–212, Feb. 2001.
[13] Pao-Lung Chen, Ching-Che Chung, and Chen-Yi Lee, “A Portable Digitally Controlled Oscillator Using Novel Varactors,” IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 52, no.5, pp. 233-237, May. 2005.
[14] Ching-Che Chung and Chen-Yi Lee, “An all-digital phase-locked loop for high-speed clock generation,” Journal of Solid-State Circuits, vol. 38, pp. 347-351, Feb. 2003.
[15] Duo Sheng, Ching-Che Chung, and Chen-Yi Lee, “An All-Digital Phase-Locked Loop with High-Resolution for SoC Applications,” VLSI Design, Automation and Test, pp. 26-28, Apr. 2006.
[16] J. Savoj and B. Razavi, “A 10-Gb/s CMOS clock and data recovery circuit with a half-rate linear phase detector,” Journal of Solid-State Circuits, vol. 36, pp. 761–767, May 2001.
[17] Hsuan-Jung Hsu and Shi-Yu Huang, “A Low-Jitter All-Digital Phase-Locked Loop Using a Suppressive Digital Loop Filter”, VLSI-DAT, pp. 28-30, Apr. 2009.
[18] Yi-Ming Wang and Jinn-Shyan Wang, “An All-Digital 50% Duty-Cycle Corrector,” IEEE Internal Symposium on Circuit and System, vol. 2, pp.295-298, May 2004.
[19] TSMC 0.18μm Process 1.8-Volt SAGE-XTM Standard Cell Library Databook.
[20] Behzad Razavi, “Challenges in the Design of High-Speed Clock and Data Recovery Circuits,” IEEE Communications Magazine, pp. 94-101, Aug. 2002.
[21] Chi-Shuang Oulee and Rong-Jyi Yang, “An All-Digital Clock and Data Recovery Circuit,” VLSI Design/CAD Symposium, Aug. 2008.
[22] B Razavi, “Challenges in the Design of High-Speed Clock and Data Recovery Circuits,” IEEE Communication magazine, pp. 94-101, 2002.
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *