帳號:guest(18.191.157.186)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):陳勇志
作者(外文):Chen, Yung-Chih
論文名稱(中文):低電壓高速內嵌式靜態隨機存取記憶體
論文名稱(外文):Low Voltage and High Speed Embedded SRAM Design
指導教授(中文):張孟凡
指導教授(外文):Chang, Meng-Fan
學位類別:碩士
校院名稱:國立清華大學
系所名稱:電機工程學系
學號:9661538
出版年(民國):99
畢業學年度:98
語文別:英文
論文頁數:103
中文關鍵詞:低電壓高速靜態隨機存取記憶體
外文關鍵詞:low voltagehigh speedSRAM
相關次數:
  • 推薦推薦:0
  • 點閱點閱:265
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
現今的系統單晶片中,靜態隨機存取記憶體往往占據最大的面積,也因此靜態隨機存取記憶體往往是系統單晶片降低功率消耗的瓶頸。有鑑於此,若能夠使靜態隨機存取記憶體操作在低供應電壓下,其功率消耗便能有效的降低。但由於傳統的六電晶體式之靜態隨機存取記憶單元,受限於本身的架構,在低電壓下往往容易發生讀取以及寫入上的錯誤,以致於傳統的靜態隨機存取記憶體的在供應電壓降低時很容易出現錯誤位元,而此種情況先進製程更甚。而在低電壓操作之下,由於電晶體自身的驅動能力減弱,因此在低電壓下靜態隨機存取記憶體往往達不到高操作速度。
有鑑於此,本篇論文中,吾等提出一新型態之十個電晶體架構之記憶單元,該單元是由利用與寫入資料相關的差動操作電壓的特性,並由字元線供給記憶單元操作電壓的架構,大幅幫助寫入的成功率以及速度[57, 75]。除此之外,一個由二個電晶體構成的獨立讀取路徑,可使得記憶單元在讀取時能夠達到無讀取干擾的同時也能夠在速度上有顯著的提升。我們除了以此新型態的靜態隨機存取記憶體為記憶單元之外,也使用了一些架構上的設計技巧,如分割字組線以及複製字元線等,使得記憶體電路得以在低電壓之下操作具有更佳的穩定性。在整個靜態隨機存取記憶體電路設計中,我們也使用了一些針對低電壓操作速度的提升方式,像是減少傳輸閘的使用數量、對列解碼路徑上關鍵的P型電晶體,施以基底順向偏壓提升其驅動能力,以及一高速的感測電路,可使讀取資料零的效能被進一步的提升[91]。
最後我們已提出的十電晶體記憶單元搭配前述的技巧,以九十奈米互補式金氧半製程技術,建構出一個由一十六千字元(16Kb)組成的靜態隨機存取記憶體電路。量測結果顯示以此種十電晶體之靜態隨機存取記憶體為記憶單元的電路,在供應電壓為四百五十毫伏下,操作頻率可達三百百萬赫茲。且整個電路可達到的最低 操作電壓為二百二十毫伏。
Contents
Abstract (Chinese)
Abstract (English)
Acknowledgments (Chinese)
Contents ................................................i
List of Figures ................................................iv
List of Tables ................................................xii

Chapter1 Introduction ...................................1
1.1 Background ..........................................1
1.2 Challenges of Low-Voltage SRAM ......................5
1.3 Motivation ..........................................5
1.4 Thesis Structure ....................................6
Chapter2 Design Issues of Low Voltage SRAM ..............7
2.1 Analyses of Conventional 6T SRAM cell ...............8
2.1.1 Static Noise Margin (SNM) ........................10
2.1.2 Write Margin (WM) ................................13
2.2 Difficulties of 6T SRAM at Low VDD .................15
2.2.1 Device Variability ...............................15
2.2.2 Read Stability Degradation and Read Failure.......16
2.2.3 Write Ability Degradation and Write Failure ......18
2.3 Previous Solutions .................................19
2.3.1 Function Enhanced Techniques for 6T SRAM .........20
2.3.2 Novel Bitcell Structures .........................22

Chapter3 Basic Operations of Proposed 10T SRAM Bitcell..28
3.1 Structure of Proposed 10T Bitcell ..................28
3.2 Standby Mode .......................................29
3.3 Read Operation .....................................31
3.4 Write Operation ....................................35
3.4.1 Basic Write Operation ............................36
3.4.2 Power Floating Issue .............................38
3.4.3 Half-Select Disturb Problem ......................39

Chapter4 Analyses of Proposed 10T SRAM cell .............42
4.1 Differential Power-supplied Write Assist............ 42
4.2 Disturb-Free Read Access ............................46
4.3 Analyses for Power Floating Condition ...............48
4.4 Power Consumption Analysis...........................51
4.5 Layout Considerations ...............................53
4.6 Comparisons Between 10T cell in this work and D2AP 8T cell ....................................................55

Chapter5 Proposed SRAM Macro Implementation .............63
5.1 Architecture of Conventional SRAM Macro .............63
5.2 Architecture of Proposed SRAM Macro .................65
5.2.1 Divided Word Line (DWL) Structure .................66
5.2.2 Divided Bit Line (DBL) Structure ..................69
5.2.3 Self-Timing Control Scheme ........................72
5.2.4 Proposed 10T SRAM Macro ...........................74
5.3 Test Chip Design ....................................80

Chapter6 Experimental Results and Conclusions ...........82
6.1 Measurement Results .................................82
6.2 Summary and Conclusion of this Thesis ...............88
6.3 Future Works ........................................92

References ..............................................94
[1] J. Rabaey, Low Power Design Essentials. Boston, MA: Springer-Verlag US,2009.

[2] K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, S. Imaoka, H. Makino, Y.Yamagami, S. Ishikura, T. Terano, T. Oashi, K. Hashimoto, A. Sebe, S. Okazaki,K. Satomi, H. Akamatsu, and H. Shinohara, "A 45-nm Bulk CMOS Embedded
SRAM With Improved Immunity Against Process and Temperature Variations,"IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 180-191, Jan. 2008.

[3] F. Hamzaoglu, K. Zhang, W. Yih, H. J. Ahn, U. Bhattacharya, C. Zhanping, N.Yong-Gee, A. Pavlov, K. Smits, and M. Bohr, "A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm
High-k Metal Gate CMOS Technology," IEEE J. Solid-State Circuits, vol. 44, no.1, pp. 148-154, Jan. 2009.

[4] K. Zhang, U. Bhattacharya, C. Zhanping, F. Hamzaoglu, D. Murray, N. Vallepalli, W. Yih, B. Zheng, and M. Bohr, "SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction," IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 895-901, Apr. 2005.

[5] M. Yamaoka, Y. Shinozaki, N. Maeda, Y. Shimazaki, K. Kato, S. Shimada, K. Yanagisawa, and K. Osada, "A 300-MHz 25-μA/Mb-leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor," IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 186-194, Jan. 2005.

[6] N. Weste and D. Harris., CMOS VLSI Design : A Circuits and Systems Perspective 3rd ed. Boston: Pearson/Addison-Wesley, 2005.

[7] B. Wong, A. Mittal, Y. Cao, and G. W. Starr, Nano-CMOS Circuit and Physical Design. Hoboken, N.J.: John Wiley, 2004.

[8] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, "Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits," Proceedings of the IEEE, vol. 91, no. 2, pp. 305-327, Feb.
2003.

[9] E. Seevinck, F. J. List, and J. Lohstroh, "Static-noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits, vol. 22, no. 5, pp. 748-754, Oct.1987.

[10] N. Verma and A. P. Chandrakasan, "Ultra Low Voltage SRAM Design," in Embedded Memories for Nano-Scale VLSIs, K. Zhang, Ed., 1st ed Boston, MA: Springer-Verlag US, 2009, pp. 89-126.

[11] H. Yamauchi, "Embedded SRAM Design in Nanometer-Scale Technologies," in Embedded Memories for Nano-Scale VLSIs, K. Zhang, Ed., 1st ed Boston, MA: Springer-Verlag US, 2009, pp. 39-88.
[12] K. Itoh, VLSI memory chip design. Berlin ; New York: Springer, 2001.

[13] A. Pavlov and M. Sachdev, CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies: Process-Aware SRAM Design and Test. Dordrecht:Springer Science + Business Media B.V, 2008.

[14] E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, "Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies," IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2577-2588, Nov. 2006.

[15] T. Sakurai, "Variability and Ultra-low Voltage Logic Design," ISSCC 2009 Forum4 : Ultra-low Voltage Circuit Design, 2009.

[16] K. A. Bowman, S. G. Duvall, and J. D. Meindl, "Impact of die-to-die and within-die parameter fluctuation on the maximum clock frequency distribution," ISSCC Dig. Tech. Papers, pp. 278-279, Feb. 2001.

[17] M. Yamaoka, K. Osada, and T. Kawahara, "A cell-activation-time controlled SRAM for low-voltage operation in DVFS SoCs using dynamic stability analysis," in Proc. Eur. Solid-State Circuits Conf. (ESSCIRC), pp. 286-289, Sep.
2008.

[18] J. J. Wuu, "Dynamic and Adaptive Techniques in SRAM Design," in Adaptive Techniques for Dynamic Processor Optimization: Theory and Practice, A. Wang and S. Naffziger., Eds., 1st ed Boston, MA: Springer Science+Business Media, LLC, 2008, pp. 249-272.

[19] A. J. Bhavnagarwala, S. Kosonocky, C. Radens, C. Yuen, K. Stawiasz, U. Srinivasan, S. P. Kowalczyk, and M. M. Ziegler, "A Sub-600-mV, Fluctuation Tolerant 65-nm CMOS SRAM Array With Dynamic Cell Biasing," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 946-955, Apr. 2008.

[20] L.-T. Pang and B. Nikolic, "Measurements and analysis of process variability in 90 nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1655-1663, May 2009.

[21] H. Yamauchi, "Variation-Tolerant SRAM Circuit Designs," ISSCC Tutorial 2009 2009.

[22] K. Takeuchi, T. Fukai, T. Tsunomura, A. T. Purta, A. Nishida, S. Kamohara, and T. Hiramoto, "Understanding Random Threshold Voltage Fluctuation by Comparing Multiple Fabs and Technologies," in IEDM Dig. Tech. Papers, pp.467-470, Dec. 2007.

[23] K. P. Kulkarni, K. Kim, S. P. Park, and K. Roy, "Process variation tolerant SRAM array for ultra low voltage applications," in Design Automation Conference (DAC) Dig. Tech. Papers, pp. 108-113, Jun. 2008.

[24] N. Yoshinobu, H. Masahi, K. Takayuki, and K. Itoh, "Review and future prospects of low-voltage RAM circuits," IBM J. Res. Develop., vol. 47, no. 5, pp. 525-552, Oct. 2003.

[25] B. H. Calhoun and A. P. Chandrakasan, "Static noise margin variation for sub-threshold SRAM in 65-nm CMOS," IEEE J. Solid-State Circuits, vol. 41, no. 7, pp. 1673-1679, Jul. 2006.

[26] A. J. Bhavnagarwala, T. Xinghai, and J. D.Meindl, "The impact of intrinsic device fluctuations on CMOS SRAM cell stability," IEEE J. Solid-State Circuits,
vol. 36, no. 4, pp. 658-665, Apr. 2001.

[27] A. Bhavnagarwala, "Voltage Scaling Constraints for Static CMOS Logic and Memory Circuits " Ph. D, Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, U.S., 2001.

[28] O. Hirabayashi, A. Kawasumi, A. Suzuki, Y. Takeyama, K. Kushida, T. Sasaki, A.Katayama, G. Fukano, Y. Fujimura, T. Nakazato, Y. Shizuki, N. Kushiyama, and T. Yabe, "A process-variation-tolerant dual-power-supply SRAM with 0.179μm2 Cell in 40nm CMOS using level-programmable wordline driver," in ISSCC Dig. Tech. Papers, pp. 458-459,459a, Feb. 2009.

[29] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, "A Stable 2-Port SRAM Cell Design Against Simultaneously Read/Write-Disturbed Accesses," IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 2109-2119, Sep. 2008.

[30] K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, Y. Oda, K. Usui, T. Kawamura, N. Tsuboi, T. Iwasaki, K. Hashimoto, H. Makino, and H. Shinohara,"A 45-nm single-port and dual-port SRAM family with robust read/write stabilizing circuitry under DVFS environment," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 212-213, Jun. 2008.

[31] S. Ishikura, M. Kurumada, T. Terano, Y. Yamagami, N. Kotani, K. Satomi, K. Nii, M. Yabuuchi, Y. Tsukamoto, S. Ohbayashi, T. Oashi, H. Makino, H. Shinohara, and H. Akamatsu, "A 45 nm 2-port 8T-SRAM Using Hierarchical Replica Bitline Technique With Immunity From Simultaneous R/W Access Issues," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 938-945, Apr. 2008.

[32] S. Ohbayashi, M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Imaoka, Y. Oda, T. Yoshihara, M. Igarashi, M. Takeuchi, H. Kawashima, Y. Yamaguchi, K. Tsukamoto, M. Inuishi, H. Makino, K. Ishibashi, and H. Shinohara, "A 65-nm SoC Embedded 6T-SRAM Designed for Manufacturability With Read and Write Operation Stabilizing Circuits," IEEE J. Solid-State Circuits, vol. 42, no. 4, pp.820-829, Apr. 2007.

[33] Y. Morita, H. Fujiwara, H. Noguchi, K. Kawakami, J. Miyakoshi, S. Mikami, K. Nii, H. Kawaguchi, and M. Yoshimoto, "A Vth-Variation-Tolerant SRAM with 0.3-V Minimum Operation Voltage for Memory-Rich SoC Under DVS
Environment," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 13-14, Jun. 2006.

[34] M. Khellah, Y. Yibin, K. Nam Sung, D. Somasekhar, G. Pandya, A. Farhang, K. Zhang, C. Webb, and V. De, "Wordline & Bitline Pulsing Schemes for Improving SRAM Cell Stability in Low-Vcc 65nm CMOS Designs," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 9-10, Jun. 2006.

[35] M. Yabuuchi, K. Nii, Y. Tsukamoto, S. Ohbayashi, Y. Nakase, and H. Shinohara,"A 45nm 0.6V cross-point 8T SRAM with negative biased read/write assist," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 158-159, Jun. 2009.

[36] T.-H. Kim, J. Liu, and C. H. Kim, "A Voltage Scalable 0.26 V, 64 kb 8T SRAM With Vmin Lowering Techniques and Deep Sleep Mode," IEEE J. of Solid-State Circuits, vol. 44, no. 6, pp. 1785 -1795, Jun. 2009.

[37] I. J. Chang, J.-J. Kim, S. P. Park, and K. Roy, "A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS," IEEE J. Solid-State Circuits vol. 44, no. 2, pp. 650-658, Feb. 2009.

[38] L. Chang, R. K. Montoye, Y. Nakamura, K. A. Batson, R. J. Eickemeyer, R. H. Dennard, W. Haensch, and D. Jamsek, "An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches," IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 956-963, Apr. 2008.

[39] J. P. Kulkarni, K. Kim, and K. Roy, "A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM," IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2303-2313, Oct. 2007.

[40] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, and H. Kobatake, "A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 113-121, Jan. 2006.

[41] B. Calhoun, "Low Energy Digital Circuit Design Using Sub-threshold Operation," Ph. D, Electrical and Computer Engineering, Massachusetts Institute of Technology, Cambridge, MA, U.S., 2005.

[42] M. Wieckowski and M. Margala, "A portless SRAM Cell using stunted wordline drivers," in ISCAS Dig. Tech. Papers, pp. 584-587, May 2008.

[43] M. Wieckowski, S. Patil, and M. Margala, "Portless SRAM-A High-Performance Alternative to the 6T Methodology," IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2600-2610, Nov. 2007.

[44] M. Wieckowski and M. Margala, "A novel five-transistor (5T) sram cell for high performance cache," in IEEE SOCC Dig. Tech.Papers, pp. 101-102, Sep. 2005.

[45] H. I. Yang, S.-Y. Lai, and W. Hwang, "Low-power floating bitline 8-T SRAM design with write assistant circuits," in IEEE SOCC Dig. Tech.Papers, pp. 239-242, Sep. 2008.

[46] M. Yamaoka and T. Kawahara, "Operating-margin-improved SRAM with column-at-a-time body-bias control technique," in Proc. Eur. Solid-State Circuits Conf. (ESSCIRC), pp. 396-399, Sep. 2007.

[47] K. Zhang, U. Bhattacharya, Z. Chen, F. Hamzaoglu, D. Murray, N. Vallepalli, Y. Wang, B. Zheng, and M. Bohr, "A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply," IEEE J. Solid-State Circuits, vol. 41, no. 1, pp. 146-151, Jan. 2006.

[48] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T. Kawahara, "90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique," IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 705-711, Mar. 2006.

[49] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, "A Stable SRAM Cell Design Against Simultaneously R/W Disturbed Accesses," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 11-12, Jun. 2006.

[50] B. H. Calhoun and A. Chandrakasan, "A 256kb Sub-threshold SRAM in 65nm CMOS," in IEEE ISSCC Dig. Tech. Papers, pp. 2592-2601, Feb. 2006.

[51] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T. Kawahara, "Low-Power Embedded SRAM Modules with Expanded Margins for Writing," ISSCC Dig. Tech. Papers, pp. 480-611, Feb. 2005.

[52] Y. H. Chen, W. M. Chan, S. Y. Chou, H. J. Liao, H. Y. Pan, J. J. Wu, C. H. Lee, S. M. Yang, Y. C. Liu, and H. Yamauchi, "A 0.6 V Dual-Rail Compiler SRAM Design on 45 nm CMOS Technology With Adaptive SRAM Power for Lower VDD_min VLSIs," IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1209 -1215, Apr. 2009.

[53] F.-S. Lai and C.-F. Lee, "On-Chip Voltage Down Converter to Improve SRAM Read/Write Margin and Static Power for Sub-Nano CMOS Technology," IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 2061-2070, Sep. 2007.

[54] M. Khellah, D. Somasekhar, Y. Ye, N. S. Kim, J. Howard, G. Ruhl, M. Sunna, J. Tschanz, N. Borkar, F. Hamzaoglu, G. Pandya, A. Farhang, K. Zhang, and V. De,
"A 256-Kb Dual-VCC SRAM Building Block in 65-nm CMOS Process With Actively Clamped Sleep Transistor," IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 233-242, Jan. 2007.

[55] J. Davis, D. Plass, P. Bunce, Y. Chan, A. Pelella, R. Joshi, A. Chen, W. Huott, T. Knips, P. Patel, K. Lo, and E. Fluhr, "A 5.6GHz 64kB Dual-Read Data Cache for the POWER6TM Processor," in IEEE ISSCC Dig. Tech. Papers, pp. 2564-2571,
Feb. 2006.

[56] M. Yamaoka, K. Osada, and K. Ishibashi, "0.4-V logic-library-friendly SRAM array using rectangular-diffusion cell and delta-boosted-array voltage scheme," IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 934-940, Jun. 2004.

[57] M.-F. Chang, J.-J. Wu, K.-T. Chen, and H. Yamauchi, "A differential data aware power-supplied (D2AP) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications," in Symp.VLSI Circuits Dig. Tech. Papers, pp.
156-157, Jun. 2009.

[58] R. Kumar and G. Hinton, "A family of 45nm IA processors," ISSCC Dig. Tech. Papers, pp. 58-59, Feb. 2009.

[59] L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard, R. K. Montoye, L. Sekaric, S. J. McNab, A. W. Topol, C. D. Adams, K. W. Guarini, and W. Haensch, "Stable SRAM cell design for the 32 nm node and beyond," in Symp.VLSI Technology Dig. Tech. Papers, pp. 128-129, Jun. 2005.

[60] E. S. Fetzer, M. Gibson, A. Klein, N. Calick, Z. Chengyu, E. Busta, and B. Mohammad, "A fully bypassed six-issue integer datapath and register file on the Itanium-2 microprocessor," IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1433-1440, Nov. 2002.

[61] R. K. Krishnamurthy, A. Alvandpour, G. Balamurugan, N. R. Shanbhag, K. Soumyanath, and S. Y. Borkar, "A 130-nm 6-GHz 256x32 bit leakage-tolerant register file," IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 624-632, May
2002.

[62] S. Hsu, B. Chatterjee, M. Sachdev, A. Alvandpour, R. K. Krishnamurthy, and S. Borkar, "A 90 nm 6.5 GHz 256x64b dual supply register file with split decoder scheme," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 237-238, Jun. 2003.

[63] A. Agarwal, K. Roy, S. Hsu, R. K. Krishnamurthy, and S. Borkar, "A 90nm 6.5GHz 128x64b 4-read 4-write ported parameter variation tolerant register file," in Symp.VLSI Circuits Dig. Tech. Papers, pp. 386-387, Jun. 2004.

[64] S. Hsu, A. Agarwal, M. Anders, S. Mathew, R. Krishnamurthy, and S. Borkar, "An 8.8GHz 198mW 16x64b 1R/1W variationtolerant register file in 65nm CMOS," in IEEE ISSCC Dig. Tech. Papers, pp. 1785-1797, Feb. 2006.

[65] A. Agarwal, N. Banerjee, S. K. Hsu, R. K. Krishnamurthy, and K. Roy, "A 200mV to 1.2V, 4.4MHz to 6.3GHz, 48x42b 1R/1W programmable register file in 65nm CMOS," in Proc. Eur. Solid-State Circuits Conf. (ESSCIRC), pp. 316-319, Sep. 2007.

[66] A. Agarwal, S. K. Mathew, S. K. Hsu, M. A. Anders, H. Kaul, F. Sheikh, R. Ramanarayanan, S. Srinivasan, R. Krishnamurthy, and S. Borkar, "A 320mV-to-1.2V On-Die Fine-Grained Reconfigurable Fabric for DSP/Media Accelerators in 32nm CMOS," ISSCC Dig. Tech. Papers, pp. 328-329, Feb.
2010.

[67] G. Burda, Y. Kolla, J. Dieffenderfer, and F.Hamdan, "A 45nm CMOS 13-Port 64-Word 41b Fully Associative Content-Addressable Register File " ISSCC Dig. Tech. Papers, pp. 286-287, Feb. 2010.

[68] A. Raychowdhury, B. Geuskens, J. Kulkarni, J. Tschanz, K. Bowman, T. Karnik, S.-L. Lu, V. De, and M. Khellah, "PVT-and-Aging Adaptive Wordline Boosting for 8T SRAM Power
Reduction," ISSCC Dig. Tech. Papers, pp. 352-353, Feb. 2010.

[69] M. Qazi, K. Stawiasz, L. Chang, and A. Chandrakasan, "A 512kb 8T SRAM Macro Operating Down to 0.57V with An AC-Coupled Sense Amplifier and Embedded Data-Retention-Voltage Sensor in 45nm SOI CMOS," ISSCC Dig. Tech. Papers, pp. 350-351, Feb. 2010.

[70] R. Jotwani, S. Sundaram, S. Kosonocky, A. Schaefer, V. Andrade, G. Constant, A. Novak, and S. Naffziger, "An x86-64 Core Implemented in 32nm SOI CMOS," ISSCC Dig. Tech. Papers, pp. 106-107, Feb. 2010.

[71] C. Johnson, D. H. Allen, J. Brown, S. Vanderwiel, R. Hoover, H. Achilles, C.-Y. Cher, G. A. May, H. Franke, J. Xenedis, and C. Basso, "A Wire-Speed PowerTM Processor: 2.3GHz 45nm SOI with 16 Cores and 64 Threads," ISSCC Dig. Tech. Papers, pp. 104-105, Feb. 2010.

[72] T.-H. Kim, J. Liu, J. Keane, and C. H. Kim, "A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme," in IEEE ISSCC Dig. Tech. Papers, pp. 330-606, Feb. 2007.

[73] N. Verma and A. P. Chandrakasan, "A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy," IEEE J. Solid-State Circuits, vol. 43, no. 1, pp. 141-149, Jan. 2008.

[74] H. Noguchi, Y. Iguchi, H. Fujiwara, Y. Morita, K. Nii, H. Kawaguchi, and M. Yoshimoto, "A 10T Non-Precharge Two-Port SRAM for 74% Power Reduction in Video Processing," in IEEE Computer Society Annual Symp. VLSI Circuits (ISVLSI) Dig. Tech. Papers, pp. 107-112, Mar. 2007.

[75] M.-F. Chang, J.-J. Wu, K.-T. Chen, Y.-C. Chen, Y.-H. Chen, R. Lee, H.-J. Liao, and H. Yamauchi, "A Differential Data Aware Power-supplied (DP2AP) 8T SRAM Cell with Expanded Write/Read Stabilities for Lower VDDmin
Applications," IEEE J. Solid-State Circuits, 2010 (accepted).

[76] M.-F. Chang, S.-M. Yang, and K.-T. Chen, "Wide-VDD embedded asynchronous SRAM with dual-mode self-timed technique for dynamic voltage systems," IEEE Trans. Circuits and Syst. I, vol. 56, no. 8, pp. 1657-1667, Aug. 2009.

[77] K. Osada, S. Jinuk Luke, M. Khan, Y. Liou, K. Wang, K. Shoji, K. Kuroda, S. Ikeda, and K. Ishibashi, "Universal-Vdd 0.65-2.0-V 32-kB cache using a voltage-adapted timing-generation scheme and a lithographically symmetrical cell," IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1738-1744, Nov. 2001.

[78] H. Nambu, K. Kanetani, K. Yamasaki, K. Higeta, M. Usami, Y. Fujimura, K. Ando, T. Kusunoki, K. Yamaguchi, and N. Homma, "A 1.8-ns access, 550-MHz, 4.5-Mb CMOS SRAM," IEEE J. Solid-State Circuits, vol. 33, no. 11, pp. 1208-1219, Nov. 1998.

[79] B. S. Amrutur and M. A. Horowitz, "A replica technique for wordline and sense control in low-power SRAM's," IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1208-1219, Aug. 1998.

[80] K. Mai, R. Ho, E. Alon, D. Liu, Y. Kim, D. Patil, and M. A. Horowitz, "Architecture and circuit techniques for a 1.1-GHz 16-kb reconfigurable memory in 0.18-μm CMOS," IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 261-275, Jan. 2005.

[81] T. Hirose, H. Kuriyama, S. Murakami, K. Yuzuriha, T. Mukai, K. Tsutsumi, Y. Nishimura, Y. Kohno, and K. Anami, "A 20-ns 4-Mb CMOS SRAM with hierarchical word decoding architecture," IEEE J. Solid-State Circuits, vol. 25, no. 5, pp. 1068-1074, Oct. 1990.

[82] M. Yoshimoto, K. Anami, H. Shinohara, T. Yoshihara, H. Takagi, S. Nagao, S. Kayano, and T. Nakano, "A divided word-line structure in the static RAM and its application to a 64K full CMOS RAM," IEEE J. Solid-State Circuits, vol. 18, no. 5, pp. 479-485, Oct. 1983.

[83] R. Joshi, R. Houle, D. Rodko, P. Patel, W. Huott, R. Franch, Y. Chan, D. Plass, S. Wilson, S. Wu, and R. Kanj, "A high performance 2.4 Mb L1 and L2 cache compatible 45nm SRAM with yield improvement capabilities," in Symp.VLSI
Circuits Dig. Tech. Papers, pp. 208-209, Jun. 2008.

[84] R. Joshi, R. Houle, K. Batson, D. Rodko, P. Patel, W. Huott, R. Franch, Y. Chan, D. Plass, S. Wilson, and P. Wang, "6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM," in Symp.VLSI Circuits Dig. Tech. Papers, pp.
250-251, Jun. 2007.

[85] B. Flachs, S. Asano, S. H. Dhong, H. P. Hofstee, G. Gervais, R. Kim, T. Le, P. Liu, J. Leenstra, J. S. Liberty, B. Michael, H.-J. Oh, S. M. Mueller, O. Takahashi,
K. Hirairi, A. Kawasumi, H. Murakami, H. Noro, S. Onishi, J. Pille, J. Silberman, S. Yong, A. Hatakeyama, Y. Watanabe, N. Yano, D. A. Brokenshire, M. Peyravian, V. To, and E. Iwata, "Microarchitecture and implementation of the
synergistic processor in 65-nm and 90-nm SOI," IBM J. Res. Develop., vol. 51, no. 5, pp. 529-543, Sep. 2007.

[86] B.-D. Yang and L.-S. Kim, "A low-power SRAM using hierarchical bit line and local sense amplifiers," IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1366-1376, Jun. 2005.

[87] B. S. Amrutur and M. A. Horowitz, "Speed and power scaling of SRAM's," IEEE J. Solid-State Circuits, vol. 35, no. 2, pp. 175-185, Feb. 2000.

[88] B. Jacob, S. W. Ng, and D. T. Wang, Memory Systems-Cache, DRAM, Disk. Burlington, M.A.: Morgan Kaufmann, 2008.

[89] D. W. Plass and Y. H. Chan, "IBM POWER6 SRAM arrays," IBM J. Res. Develop., vol. 51, no. 6, pp. 747-756, Nov. 2007.

[90] J. Pille, C. Adams, T. Christensen, S. Cottier, S. Ehrenreich, T. Kono, D. Nelson, O. Takahashi, S. Tokito, O. Torreiter, O. Wagner, and D. Wendel, "Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V," in IEEE
ISSCC Dig. Tech. Papers, pp. 322-606, Feb. 2007.

[91] M.-F. Chang, S.-M. Yang, C.-W. Liang, C.-C. Chiang, P.-F. Chiu, K.-F. Lin, Y.-H. Chu, W.-C. Wu, and H. Yamauchi, "A 0.29V Embedded NAND-ROM in 90nm CMOS for Ultra-Low-Voltage Applications," ISSCC Dig. Tech. Papers, pp.
266-267, Feb. 2010.

[92] R. K. Krishnamurthy, "Ultra-low Voltage Microprocessors Design: Challenges
and Solutions," ISSCC 2009 Forum4 : Ultra-low Voltage Circuit Design, 2009.

[93] N. Verma and A. P. Chandrakasan, "A High-Density 45 nm SRAM Using Small-Signal Non-Strobed Regenerative Sensing," IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 163-173, Jan. 2009.

[94] J. Javanifard, T. Tanadi, H. Giduturi, K. Loe, R. L. Melcher, S. Khabiri, N. T. Hendrickson, A. D. Proescholdt, D. A. Ward, and M. A. Taylor, "An 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed," ISSCC Dig. Tech. Papers, pp. 424-624, Feb. 2008.

[95] M. E. Sinangil, N. Verma, and A. P. Chandrakasan, "A Reconfigurable 8T Ultra-Dynamic Voltage Scalable (U-DVS) SRAM in 65nm CMOS," IEEE J. Solid-State Circuits, vol. 44, no. 11, pp. 3163-3173, Nov. 2009.

[96] K. Nii, M. Yabuuchi, Y. Tsukamoto, Y. Hirano, T. Iwamatsu, and Y. Kihara, "A 0.5V 100MHz PD-SOI SRAM with Enhanced Read Stability and Write Margin by Asymmetric MOSFET and Forward Body Bias," ISSCC Dig. Tech. Papers,
pp. 356-357, Feb. 2010.
(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *