帳號:guest(3.19.58.30)          離開系統
字體大小: 字級放大   字級縮小   預設字形  

詳目顯示

以作者查詢圖書館館藏以作者查詢臺灣博碩士論文系統以作者查詢全國書目
作者(中文):徐展偉
作者(外文):Hsu, Chan-Wei
論文名稱(中文):具訊號和散熱矽通道配置考量的固定邊框三維晶片佈局規劃
論文名稱(外文):3D-IC Fixed-Outline Floorplanning Considering Signal and Thermal Through-Silicon Via Planning
指導教授(中文):王廷基
指導教授(外文):Wang, Ting-Chi
學位類別:碩士
校院名稱:國立清華大學
系所名稱:資訊工程學系
學號:9762600
出版年(民國):99
畢業學年度:98
語文別:中文
論文頁數:44
中文關鍵詞:矽通道配置三維晶片佈局規劃
外文關鍵詞:TSV planning3D ICfloorplan
相關次數:
  • 推薦推薦:0
  • 點閱點閱:145
  • 評分評分:*****
  • 下載下載:0
  • 收藏收藏:0
關於3D-IC 平面配置(floorplan) 的研究成果有很多,其中有不少是關於矽通道(Through-Silicon Via,以TSV 表示) 配置的研究。這些研究大致上可分為兩類,一類是只有考慮訊號TSV(Signal TSV,以S-TSV 表示) 位置及配置的研究,另一類是只考慮熱的TSV(Thermal TSV,以T-TSV 表示) 配置的研究。他們都單純只考量其中的一類,而沒有將他們的配置一起做考量,這會讓只做S-TSV 配置的方法少了TSV 能幫助降溫的好處,只考量T-TSV 配置的方法會因為少了S-TSV 的位置而低估繞線長度,並且S-TSV 也能協助散熱,適當地配置S-TSV 也會減少額外的T-TSV,因此可以降低全部的TSV 數量。有鑑於此,我們認為把S-TSV 和T-TSV一起做考量,才不會失去兩方面所帶來的好處,也會讓TSV 的配置更完善。在這篇論文提出了一個S-TSV 與T-TSV 配置的方法,並使用最小成本最大流的方法進一步地改善S-TSV 配置,並能考慮T-TSV 的位置,使得TSV 的總數量更少。
1 簡介
2 研究動機及相關的研究成果
2.1 研究動機
2.2 相關的研究成果
2.2.1 3D 平面配置的S-TSV 配置(S-TSV Planning in 3D Floorplanning)
2.2.2 3D 平面配置的T-TSV 配置(T-TSV Planning in 3D Floorplanning)
2.2.3 空白空間的重分配(White Space Redistribution)
3 問題模型及定義
3.1 面向背積體化技術
3.2 繞線長度的估算
3.3 熱分析模組
3.4 問題規劃
4 演算法
4.1 T-TSV 的配置
4.2 用HSPICE 做溫度分析
4.3 S-TSV 的重新分配
5 實驗結果
5.1 在不同階段配置T-TSV 的差異
5.2 S-TSV 重配置的結果
6 結論
[1] M.-C. Tsai, T.-C. Wang, and T. T. Hwang, “Through-silicon via planning in 3d floorplanning,” in IEEE Transactions on Very Large Scale Integration Systems.
[2] J. Cong, C. Liu, and G. Luo, “Quantitative studies of impact of 3d ic design on repeater usage.” Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, 2008, pp. 344–348.
[3] J. Burns, L. McIlrath, C. Keast, C. Lewis, A. Loomis, K. Warner, and P. Wyatt, “Three-dimensional integrated circuit for low power, highbandwidth systems on a chip.” International Solid State Circuits Conference, 2001, pp. 268–269.
[4] Y.-J. Lee, Y. J. Kim, G. Huang, M. Bakir, Y. Joshi, A. Fedorov, and S. K. Lim, “Co-design of signal, power, and thermal distribution networks for 3d ics.” Design Automation & Test in Europe Conference, 2009, pp. 610–615.
[5] J. Cong, W. Jie, and Y. Zhang, “A thermal-driven floorplan for 3d-ics.” International Conference on Computer Aided Design, 2004, pp. 306–313.
[6] J. Cong and Y. Zhang, “Thermal via planning for 3-d ics.” International Conference on Computer-Aided Design, 2005, pp. 745–752.
[7] Z.Li and X. et. al, “Efficient thermal via planning approach and its application in 3d floorplanning,” IEEE Trans. Computer-Aided Design.
[8] X. Li, Y. Ma, X. Hong, S. Dong, and J. Cong, “Lp based white space redistribution for thermal via planning and performance optimization in 3d ics.” Asia and South Pacific Design Automation Conference, 2008, pp. 209–212.
[9] L. Xiao, S. Sinha, J. Xu, and E. F. Young, “Fixed-outline thermal-aware 3d floorplanning.” Design Automation Conference (ASP-DAC), 2010, pp. 561–567.
[10] B. Goplen and S. Sapatnekar, “Placement of 3d ics with thermal and interlayer via considerations.” Design Automation Conference, 2007, pp. 626–631.
[11] J. Cong, G.-J. Luo, J. Wei, and Y. Zhang, “Thermal-aware 3d ic placement via transformation.” Asia and South Pacific Design Automation Conference, 2007, pp. 780–785.
[12] J. Li and H. Miyashita, “Post-placement thermal via planning for 3d integrated circuit.” Asia Pacific Conference on Circuits and Systems, 2006, pp. 808–811.
[13] Hotspot software package, University of Virginia. [Online]. Available: http://lava.cs.virginia.edu/HotSpot/
[14] HSPICE. [Online]. Available: http://www.hspice.com/
[15] CPLEX. [Online]. Available: http://www.ilog.com/
[16] LEDA package. [Online]. Available: http://www.algorithmicsolutions.com/
[17] Parqeut. [Online]. Available: http://vlsicad.eecs.umich.edu/BK/parquet/
(此全文未開放授權)
電子全文
摘要
 
 
 
 
第一頁 上一頁 下一頁 最後一頁 top
* *