透過您的圖書館登入
IP:3.17.183.24
  • 學位論文

考慮自對準雙圖案微影技術疊對誤差之細部繞線

Overlay-Aware Detailed Routing for Self-Aligned Double Patterning Lithography Using the Cut Process

指導教授 : 張耀文

摘要


自對準雙圖案微影技術被認為是最有希望突破傳統光學微影解析 度極限的技術之一。自對準雙圖案微影技術搭配切除光罩近來備受矚 目,因其能提供較高的設計靈活度,例如:自對準雙圖案微影技術搭配 切除光罩能夠不使用縫合圖案而分解奇圈。本論文題出了第一個在細 部繞線時使用切除光罩分解奇圈的演算法。此外,疊對誤差控制亦是 增進良率的關鍵,然而現有的細部繞線演算法皆僅能處理部分的疊對 誤差情形。在本論文中,我們辨別出所有可能造成疊對誤差的電路圖 案,並提出一全新的限制圖來捕捉電路布局中的所有疊對誤差。有了 上述技術,我們的繞線演算法可取得高品質的繞線結果並大幅減少疊 對誤差 (因此提高良率)。 相較於現存的三個最先進的自對準雙圖案微 影繞線演算法,我們提出的演算法能在最短的時間內得到最少的疊對 誤差和完全沒有切除圖案衝突的結果。

並列摘要


Self-aligned double patterning (SADP) is one of the most promising techniques for sub-20nm technology. Spacer-is-dielectric SADP using a cut process is getting popular because of its higher design flexibility; for example, it can decompose odd cycles without the need of inserting any stitch. This thesis presents the fi rst work that applies the cut process for decomposing odd cycles during routing. For SADP, further, overlay control is a critical issue for yield improvement; while published routers can handle only partial overlay scenarios, our work identifies all the scenarios that induce overlays and proposes a novel constraint graph to model all overlays. With the developed techniques, our router can achieve high-quality routing results with significantly fewer overlays (and thus better yields). Compared with three state-of-the-art studies, our algorithm can achieve the best quality and efficiency, with zero cut conflicts, smallest overlay length, highest routability, and fastest running time.

參考文獻


[1] W. Arnold., M. Dusa, and J. Finders, "Manufacturing challenges in double patterning lithography," in Proceedings of IEEE International Symposium on Semiconductor Manufacturing, pp. 283-286, 2006.
[3] Y. Ban, K. Lucas, and D. Pan, "Flexible 2D layout decomposition framework for spacer-type double patterning lithography," in Proceedings of ACM/IEEE Design Automation Conference, pp. 789-794, 2011.
[6] J.-R. Gao and D. Z. Pan, "Flexible self-aligned double patterning aware detailed routing with prescribed layout planning," in Proceedings of ACM International Symposium on Physical Design, pp. 25-32, 2012.
[7] D. Z. P. J.-R. Gao, B. Yu, "Self-aligned double patterning layout decomposition with complementary e-beam lithography," in Proceedings of IEEE/ACM Asia and South Paci c Design Automation Conference, pp. 143-148, 2014.
[8] A. B. Kahng, C.-H. Park, X. Xu, and H. Yao, "Layout decomposition for double patterning lithography," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design, pp. 456-472, 2008.

延伸閱讀