透過您的圖書館登入
IP:18.191.234.62
  • 學位論文

使用機器學習以向量為基的動態電路壓降預測

Vector-based Dynamic IR-drop Prediction Using Machine Learning

指導教授 : 李建模

摘要


由於商業工具的運行時間長,因此對整個向量集進行基於向量的動態 IR-drop 分析是不可行的。在本文中,我們使用機器學習對電路中的所有邏輯單元執行基於向量的 IR-drop 預測。我們直接從邏輯模擬波形中提取重要特徵,例如觸發次數和到達時間,以便我們可以快速執行基於向量的 IR-drop 預測。我們還提出了一種特徵工程方法,密度圖特徵,為我們的機器學習模型提供 IR-drop 的局部效應。我們的方法是可擴展的,因為特徵維度是固定的 (72),不會隨著電路大小和元件資料庫而改變。我們的方法可以預測每個元件的動態 IR-drop ,適用於許多不同的應用。但最重要的是,我們的方法可以預測不同的輸入向量。我們的實驗顯示,預測器的平均絕對誤差小於額定電壓的 3%。與常見的商業工具相比,我們實現了超過 495 倍的加速,因此我們的機器學習預測可用於從整個測試向量集中識別有 IR-drop 過高風險的向量,這是使用傳統的 IR-drop 分析不可行的。根據我們機器學習的預測,我們可以識別超過 70% 的 IR-drop 風險向量。

並列摘要


Vector-based dynamic IR-drop analysis of the entire vector set is infeasible due to the long runtime for the commercial tool. In this paper, we use machine learning to perform vector-based IR-drop prediction for all logic cells in the circuit. We extract important features, such as toggle counts and arrival time, directly from the logic simulation waveform so that we can perform vector-based IR-drop prediction quickly. We also propose a feature engineering method, density map feature, to provide our machine learning model the local effect of IR-drop. Our method is scalable because the feature dimension is fixed (72), does not change with the design size and cell library. Our method can predict the dynamic IR-drop for every cell, which is suitable for many different applications. But most importantly, our method can predict different input vectors. Our experiments show that the mean absolute error of the predictor is less than 3% of the nominal supply voltage. We achieve more than 495 speedups compared to a popular commercial tool, so our machine learning prediction can be used to identify IR-drop risky vectors from the entire test vector set, which is infeasible using traditional IR-drop analysis. Based on the prediction of our machine learning, we can identify more than 70% IR-drop risky vectors.

參考文獻


[Ansys 18] Ansys RedHawk-SC User Manual, 2018
[Chen 16] T. Chen, and C. Guestrin. "Xgboost: A scalable tree boosting system, " Proc. 22nd acm sigkdd int'l conf. on knowledge discovery and data mining. ACM, 2016. pp. 785-794.
[Chen 97] H. Chen and D. Ling. Power supply noise analysis methodology for deep-submicron vlsi chip design. In Proceedings of DAC, 1997
[Dhotre 17] H. Dhotre, S. Eggersglüß, and R. Drechsler. "Identification of efficient clustering techniques for test power activity on the layout, " Proc. Asian Test Symp., IEEE., 2017. pp. 108-113.
[Fang 18] Y.-C. Fang, H.-Y. Lin, M.-Y. Su, C.-M. Li, and E. J.-W. Fang, "Machine-learning-based dynamic ir drop prediction for eco," in Int'l Conf. on CAD. ACM, 2018, p. 17.

延伸閱讀