透過您的圖書館登入
IP:52.14.121.242
  • 學位論文

僅使用標準單元實現之1GHz取樣率的峰值電源電壓落差監測器

1 GHz Sampling Rate Worst-Case IR-Drop Monitor using Only Standard Cells

指導教授 : 黃錫瑜
若您是本文的作者,可授權文章由華藝線上圖書館中協助推廣。

摘要


在三維晶片中,不同的裸晶雖是分別製造,但是整合之後卻是使用同一個外部電源,這個電源經由封裝基座接腳必須以接力的方式,傳播至每一個晶片,由於途中經過一些【穿矽連接孔】,所需驅動的電路也比傳統二維的晶片更多,更容易造成 IR-Drop (電源電壓落差) 的現象。這種現象如果發生的話,輕則造成電路速度拖慢,重則造成錯誤的運算結果發生。因此,三維晶片更加需要有一套隨時監控IR-Drop的機制,以提供三維晶片設計者兩大可以提升可靠度的功能: (1) 驗證【電源網路】的設計無誤, (2) 於嚴重的IR-Drop 問題發生時提供診斷的依據。 電源電壓落差監測技術被用來有效的評估晶片中電源網路的完整性,然而現有的方法無法同時達到高準確性及高取樣率。 在本篇論文裡,我們提出了一個創新的方法來解決這個困境。 首先,我們的目標由量測電壓波形中的所有取樣點取代為所有取樣點中的峰值電源電壓落差。 這項策略可以更容易達到高取樣率的量測。 第二,我們採取了週期性的校正機制來考量製程與溫度變異的影響。我們所提出的峰值電源電壓落差監測電路的另一項優點即整體電路僅使用標準單元化(standard cell)來實現。 測試晶片經過下線後量測的結果顯示,我們所提出的方法可以支援1GHz以上的取樣率並且達到平均量測誤差3.05mV,最大量測誤差為8.23mV。

並列摘要


IR-drop monitoring has been an effective means to assess the power integrity in real silicon. Existing methods, however, fail to achieve a high accuracy and a high sampling rate simultaneously. In this work, we present a novel method to resolve this dilemma. First of all, we focus on the measurement of the worst-case IR-drop, instead of the entire sampled VDD waveform. This strategy can make a high sampling rate more viable. Secondly, periodic calibration can be supported to account for the process variation and the temperature change if needed. Another benefit of the proposed method is that it can be designed using only standard cells. Test chips have been fabricated and measurement results demonstrate that this method can support a sampling rate of more than 1 GHz, while achieving an average measurement error of 3.05 mV, and a worst-case measurement error of 8.23 mV.

參考文獻


[1] J. Kim, W. Yu, H. Yu, and S. Cho, “A Digital-Intensive Receiver Front-End Using VCO-Based ADC with an Embedded 2nd-Order Anti-Aliasing Sinc Filter in 90 nm CMOS,” IEEE Solid-State Circuits Conf., pp. 176-178, Feb. 2011.
[3] A. Muhtaroglu, G. Taylor, and T. Rahal-Arabi, “On-Die Droop Detector for Analog Sensing of Power Supply Noise,” IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 651-660, April. 2004.
[4] A. Sehgal, Peilin Song, and Keith A. Jenkins, “On-Chip Real-Time Power Supply Noise Detector,” Proc. of IEEE European Solid-State Circuits Conf., pp. 380-383, Sept. 2006.
[5] A. Vijayakumar, R. Kumar, and S. Kundu, “On Design of Low Cost Power Supply Noise Detection Sensor for Microprocessors,” Proc. of IEEE Computer Society Annual Symp. on VLSI, pp. 120-125, Aug. 2012.
[6] Z. Abuhamdeh, V. D’Alassandro, R. Pico, D. Montrone, A. Crouch, and A. Tracy, “Separating Temperature Effects from Ring-Oscillator Reading to Measure True IR-Drop on a Chip,” Proc. of IEEE of Int’l Test Conf., pp. 1-10, Oct. 2007.

延伸閱讀