透過您的圖書館登入
IP:3.128.202.221
  • 學位論文

乙太網路應用之高速收發器

High-Speed Transceivers for Ethernet Applications

指導教授 : 李致毅
若您是本文的作者,可授權文章由華藝線上圖書館中協助推廣。

摘要


本論文提出了兩項作品。第一項作品是原型發射機,具有內置的PRBS-7測試模式和三抽頭前饋均衡器。 在14 GHz時可提供大約8 dB的最大增強。為了通過復用器將不同相位的四個四分之一速率的PRBS序列合成為半速率數據序列,提出了一種改進的2-to-1復用器。沿時鐘路徑使用佔空比控制電路,並充當電平轉換器。它的最大調整範圍足以抵禦可能的眼睛變形,約為40%至60%。此外,在這項作品中描述了基於傳統CML拓撲的改進的PAM-4組合器,可產生乾淨的56 Gb/s PAM-4訊號。測試晶片在1 V電源電壓下消耗200 mW的功率,並佔用1×0.8 mm$^2$的面積。在差分輸出下,它提供大約600 mV的輸出擺幅,每個電平之間間隔約200 mV。 第二項作品是突發模式應用程序的接收器。該系統可以分別在有效負載和保護時間內在CDR模式和PLL模式之間切換。整個系統可以25.78125 Gb/s或28.05 Gb/s的速度運行,鎖定時間小於100 ns。 CID容限遠遠超過132位。測試晶片在1.2 V和2.5 V電源電壓下的功耗為335 mW,佔用面積為1200 μm x 850 μm。它可以恢復差分輸出的全速率時鐘和數據。

並列摘要


This thesis presents two works. The first work is a prototype transmitter with buit-in PRBS-7 testing pattern and 3-tap FFE. The 3-tap FFE provides maximum boost of approximately 8 dB at 14 GHz. For synthesizing four quarter-rate PRBS sequences with different phases by the multiplexer to half-rate data sequence, a modified 2-to-1 multiplexer is presented. Duty-cycle control circuits are employed along the clock paths and server a role of level converter. Its maximum adjustment range is about 40\% to 60\% enough to counter possible eye distortion. Furthermore, the improved PAM-4 combiner based on traditional CML topology is depicted in this work and results in clean 56 Gb/s PAM-4 signal. The testing chip consumes 200 mW under 1 V supply voltage and occupies 1×0.8 mm$^2$ area. It provides about 600-mV output swing and 200 mV between each level in differential. The second work is a receiver for burst-mode application. This system can be switched between CDR mode and PLL mode during the payload and guard time, respectively. The whole system can be operated at 25.78125 Gb/s or 28.05 Gb/s with the locking time less than 100 ns. The CID tolerance is well beyond than 132 bits. The testing chip consumes 335 mW under 1.2 V and 2.5 V supply voltage and occupies 1200 μm x 850 μm area. It recovers the full-rate clock and data in differential.

參考文獻


[1] “[STDS-802-3-400G] IEEE P802.3bs Approved!.” http://www.ieee802.org/3/400GSG/email/msg01519.html.
[2] “High-Speed Transmission Update: 200G/400G.” https://www.connectorsupplier.com/high-speed-transmission-update-200g400g/.
[3] T. Shibasaki, T. Danjo, Y. Ogata, Y. Sakai, H. Miyaoka, F. Terasawa, M. Kudo,H. Kano, A. Matsuda, S. Kawai, T. Arai, H. Higashi, N. Naka, H. Yamaguchi,T. Mori, Y. Koyanagi, and H. Tamura, “3.5 A 56Gb/s NRZ-electrical 247mW/laneserial-link transceiver in 28nm CMOS,” in 2016 IEEE International Solid-State Circuits Conference (ISSCC), pp. 64–65, Jan 2016.
[4] J. Im, D. Freitas, A. Roldan, R. Casey, S. Chen, A. Chou, T. Cronin, K. Geary,S. McLeod, L. Zhou, I. Zhuang, J. Han, S. Lin, P. Upadhyaya, G. Zhang, Y. Frans,and K. Chang, “6.3 A 40-to-56Gb/s PAM-4 receiver with 10-tap direct decision-feedback equalization in 16nm FinFET,” in 2017 IEEE International Solid-StateCircuits Conference (ISSCC), pp. 114–115, Feb 2017.
[5] P. Upadhyaya, C. F. Poon, S. W. Lim, J. Cho, A. Roldan, W. Zhang, J. Namkoong,T. Pham, B. Xu, W. Lin, H. Zhang, N. Narang, K. H. Tan, G. Zhang, Y. Frans, andK. Chang, “A Fully Adaptive 19–58-Gb/s PAM-4 and 9.5–29-Gb/s NRZ WirelineTransceiver With Configurable ADC in 16-nm FinFET,” IEEE Journal of Solid-StateCircuits, vol. 54, pp. 18–28, Jan 2019.

延伸閱讀