透過您的圖書館登入
IP:3.137.198.96
  • 學位論文

HDL形式之IP模組的浮水印保護法

Watermarking HDL Codes for Soft IP Protection

指導教授 : 林明權
若您是本文的作者,可授權文章由華藝線上圖書館中協助推廣。

摘要


一般SoC系統的設計,常利用軟體HDL程式碼形式,組成許多的可再使用(reuse)的元件,但因為這些可再使用元件的HDL封裝方式,使得智慧財產權,往往很難加以保護的。 本文提出一簡易的浮水印加密保護法來規劃HDL程式碼的智慧財產權;對於組合邏輯電路,以LUT(ROM-based)取代一般的VHDL程式語法,對於序向邏輯電路,以RAM-based的FSM來設計,並將個人規劃的浮水印標記,嵌入LUT(ROM-based)或是RAM-based的FSM狀態記憶體內。 本文提出一些Opencore設計的HDL程式,套上本論文所提出的簡易浮水印加密保護法,證實經過浮水印加密的程式,再以FPGA合成、實現後,完全符合原Opencore設計的功能,並且只增加少許晶片系統的資源,因此頗適用於HDL可再使用程式模組的智慧財產權保護。

並列摘要


Reuse-based Intellectual property (IP) design is one of the most promising techniques to take the SoC design quickly into market. However, soft IPs has higher protection requirements than hard IPs and most existing IP protection techniques are not applicable to soft IPs. In this paper, we proposed two practical schemes for HDL code protection by hiding author’s signature which is also called as watermark and similar to the idea for hard IP and multimedia data protection. We proposed how to embed watermark into HDL source codes by LUT (ROM) units and distributed SRAM. For combinational logic system, the LUT (ROM) components are very suitable for hiding watermarking. For sequential logic system, we use RAM-based FSM technique to embed the personal watermarking messages. We have modified some opencore design module with our watermarking technique, and have proved that without changing the original algorithm in the reused device and increasing additional HDL modules, the proposed watermarking technique is suitable for HDL-based reused IP.

並列關鍵字

LUT FSM

參考文獻


[2]. Kahng, A.B., J. Lach, W.H. Mangione-Smith, S. Mantik, I.L. Markov, M. Potkonjak, P. Tucker, H. Wang, and G. Wolfe (2001), “ Constraint-Based Watermarking Techniques for Design IP Protection”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 10, pp. 1236–1252, 2001.
[3]. Oliveira, A.L, (2001), “Techniques for the Creation of Digital Watermarks in Sequential Circuit Designs”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 20, no. 9, pp. 1101–1117, 2001.
[4]. Torunoglu, I. and E. Charbon (2000), “Watermarking-Based Copyright Protection of Sequential Functions”, IEEE Journal of Solid-State Circuits, vol. 35, no. 3, pp. 434–440, 2000.
[5]. Chapman, R. and T.S. Durrani, (2000), “IP Protection of DSP Algorithms for System on Chip Implementation”, IEEE Transactions on Signal Processing, vol. 48, no. 3, pp. 854–861, 2000.
[6]. Fan, Y.C. and H.W. Tsao, (2003), “Watermarking for Intellectual Property Protection”, IEE Electronics Letters, vol. 39, no. 18, pp. 1316-1318, 2003.

被引用紀錄


陳冠傑(2012)。導光板微結構幾何特徵對LCD背光模組光學性質影響之研究〔碩士論文,崑山科技大學〕。華藝線上圖書館。https://doi.org/10.6828/KSU.2012.00026
王俊傑(2010)。高性能光學薄膜之研究〔碩士論文,國立臺北科技大學〕。華藝線上圖書館。https://www.airitilibrary.com/Article/Detail?DocID=U0006-2008201014265800
林婉婷(2013)。利用擴散板微結構於LED燈具之光學特性〔碩士論文,國立虎尾科技大學〕。華藝線上圖書館。https://www.airitilibrary.com/Article/Detail?DocID=U0028-1902201313144600

延伸閱讀