透過您的圖書館登入
IP:3.14.85.213
  • 學位論文

應用於矽穿孔垂直銀導線結構製作之噴墨式列印技術製程開發

The Development of Through Silicon Via with Inkjet Printing Process for Silver Interconnection Fabrication

指導教授 : 鄭裕庭

摘要


在三維積體電路中為了延續摩爾定律,發展出取代傳統打線接合(Wire Bonding)的一項封裝技術: 矽穿孔TSV (Through Silicon Via)。而這垂直互聯的封裝技術提升了整體元件的導電性、可靠性、降低功耗等諸多優點,是三維積體電路架構中不可或缺的腳色。然而在現有標準矽穿孔TSV垂直導線製程中,較高的製程成本,且製程條件與過程都是較敏感的。因此藉由噴墨式列印(Inkjet Printing)技術製程上的靈活性,低成本的設備與材料,較低之製程溫度以及較少加工製程,與極佳半導體製程的相容性等優勢下,已在許多的電子元件領域中廣泛的運用。本研究有別於過往噴墨式列印應用在垂直矽穿孔TSV的研究,僅針對於奈米墨水對於結構側壁的覆蓋性之討論,我們透過室溫的控制條件與墨滴解析度的優化操作下,實現了具高深寬比之完全填充的矽穿孔垂直銀導線結構,並證實以奈米銀墨水完全填充結構內部,可代替現有的氣相沉積與電鍍成長之矽穿孔垂直導線之製程技術。以口徑50μm、深度250μm的高深寬比TSV垂直銀導線為例,經過400oC 60分鐘的退火製程,並藉由Kelvin structure量測到該矽穿孔的銀導線電阻率可達37μΩ•cm。另外於噴墨式列印技術中的加工製程中,研究發現透過濕度控制與銀鏡反應,更可降低整體導線的電阻率,在相同退火處理與結構下,可進一步實現具更低電阻率26μΩ•cm之垂直銀導線,該結果為目前同類型已發表研究中所達到之最低電阻率。

關鍵字

噴墨式印刷 矽穿孔

並列摘要


In order to continue Moore's Law in the three-dimensional integrated circuit (3D-IC), a packaging technology, i.e. TSV (Through Silicon Via), has been proposed and developed to replace the traditional wire bonding (Wireless Bonding) technique. The vertically interconnected packaging technology can facilitate shorter electrical interconnect length, better signal integrity, and lower power consumption of the overall circuitry and has become an indispensable feature in the 3D-IC architecture. Nevertheless, in the existing standard Si-TSV process, high process cost with complex processes is inevitable. In recent years, inkjet printing (Inkjet Printing) technology with the characteristics of lower equipment and material cost, lower process temperature and less processing waste, and higher compatibility with the existed semiconductor processes has been widely used in various electronic component manufacture. Different from the prior inkjet printing Si-TSV studies, which only dealt with the coverage issues of the structural sidewalls, this thesis presents an inkjet printing and filling process that can accomplish fully Ag-filled Si-TSVs with high aspect ratios via the optimization of the ink drop resolution and ambient temperature control. A Si-TSV with a diameter of 50μm and a depth of 250μm subjected to an annealing process of 400°C for 60 minutes can be fully filled by printed Ag nanoparticles with a resistivity of the 37μΩ•cm. In addition, the resistivity of the whole TSV can be further reduced by well humidity control and the incorporation of silver mirror reaction in the printing and filling process. Experimental results show that lower resistivity. i.e. 26 μΩ•cm, can be realized in the Ag-filled Si-TSV under the same via structure and annealing treatment, which is the lowest resistivity ever reported.

並列關鍵字

inkjet printing Ag through silicon via

參考文獻


[1] M. Motoyoshi, Through-silicon via (TSV), Proceedings IEEE 97 (2009) 43–48.
[2] Y. Xie, H.G. Loh, B. Black, K. Bernstein, Design space exploration for 3D architec-tures, ACM Journal of Emerging. Technologies in Computer Systems 2 (2006)65–103.
[3] J. Burns, L. McIlrath, C. Keast, C. Lewis, A. Loomis, K. Warner, P. Wyatt, Three-dimensional integrated circuit for low power, high-bandwidth systems on achip, ISSCC Digest (2001) 268–269.
[4] http://www.athenis3d.eu/technology
[5] Motoyoshi, Makoto. "Through-silicon via (TSV)." Proceedings of the IEEE 97.1 (2009): 43-48.

延伸閱讀