透過您的圖書館登入
IP:3.144.230.82
  • 學位論文

積層型三維靜態隨機存取記憶體之變異分析及三維讀寫輔助電路設計

SRAM Variation Analysis and Peripheral R/W-Assist Circuits using Monolithic 3D BEOL FinFET Circuits

指導教授 : 黃柏蒼
本文將於2024/09/16開放下載。若您希望在開放下載時收到通知,可將文章加入收藏

摘要


奈米微縮製程導致導線的電阻及電容的影響變嚴重,三維積體電路設計被應用於解決此相關問題,其中又以積層型三維積體電路的表現效果尤佳。為了避免影響底層的電路特性,上層用低溫結晶法產生多晶矽半導體層;由於多晶矽的結晶的大小與邊界無法預測,使電晶體有不可預測的影響進而影響到電路的功能完整性。在此論文中,利用晶粒邊界控制技術可以限制晶格邊界生成位置,藉由預知晶格邊界,可將靜態隨機存取記憶體的敏感電路放置於晶格內,進而離晶格邊界造成的影響,降低讀寫錯誤率,進而提高積層型三維靜態隨機存取記憶體實現的可能性。 由於積層型三維積體電路技術目前正在發展中,三維靜態隨機存取記憶體的 成本與良率問題皆還需再做更進一步的改善,所以此論文中,亦提出了將讀寫輔助電路及讀寫驅動電路設計於多晶矽層,由於驅動電路對於電流變異性的敏感性較低,所以可以放置上層來降低導線的電阻及電容的影響解降低了晶片面積以降低成本。整體而言,可降低24.8%延遲及19.6%的面積。

並列摘要


Monolithic 3D-IC is an enabling technology for reducing chip size and power consumption and enhancing the overall system performance and using BEOL circuits. To avoid damaging transistors on the bottom silicon layer, low thermal budget is required for while fabricating polycrystalline BEOL circuits on top layers. However, if polycrystalline semiconductor is used, the yield of Monolithic 3D technology is decreased by the random grain boundaries of Si grains. In this thesis, SRAM design using the Location-Controlled-Grain (LCG) technique is presented to reduce the overall bit error rate (BER). Moreover, a graph-based statistical BER analysis is adopted using both transistor-level and cell-level boundary assignments for random grains. The BER of LCG SRAM can be significantly reduced. The defects of monolithic 3D BEOL circuits is still one of design challenges in monolithic 3D SRAM. Instead of placing SRAM cells on BEOL layers, WL-boosted repeaters and ripple-BL buffers are proposed using monolithic 3D BEOL FinFETs to decrease the catastrophic RC effect of SRAM in sub-10nm technologies. The WL-boosted repeaters and ripple-BL buffers can achieve 24.8% delay reduction and 19.6% area reduction, respectively.

參考文獻


[1] Y. Chen et al., "A 16 nm 128 Mb SRAM in High-$kappa$Metal-Gate FinFET Technology With Write-Assist Circuitry for Low-VMIN Applications," IEEE Journal of Solid-State Circuits, vol. 50, no. 1, pp. 170-177, 2015.
[2] T. Song et al., "A 14 nm FinFET 128 Mb SRAM With V$_{m MIN}$Enhancement Techniques for Low-Power Applications," IEEE Journal of Solid-State Circuits, vol. 50, no. 1, pp. 158-169, 2015.
[3] J. Chang et al., "12.1 A 7nm 256Mb SRAM in high-k metal-gate FinFET technology with write-assist circuitry for low-VMINapplications," in 2017 IEEE International Solid-State Circuits Conference (ISSCC), 2017, pp. 206-207.
[4] C. Ching-Te, S. Mukhopadhyay, K. Jae-Joon, K. Keunwoo, and R. Rao, "High-performance SRAM in nanoscale CMOS: Design challenges and techniques," in 2007 IEEE International Workshop on Memory Technology, Design and Testing, 2007, pp. 4-12.
[5] Z. Guo, D. Kim, S. Nalam, J. Wiedemer, X. Wang, and E. Karl, "A 23.6Mb/mm2 SRAM in 10nm FinFET technology with pulsed PMOS TVC and stepped-WL for low-voltage applications," in 2018 IEEE International Solid - State Circuits Conference - (ISSCC), 2018, pp. 196-198.

延伸閱讀