透過您的圖書館登入
IP:3.145.184.89
  • 學位論文

使用標準邏輯元件及相對式參考模型技術設計之靜態電壓壓降偵測器與嵌入式矽振盪器

Design of a Static IR-Drop Monitor and An On-Chip Silicon Oscillator with Cell-Based and Relative Reference Modelling Approaches

指導教授 : 鍾菁哲
若您是本文的作者,可授權文章由華藝線上圖書館中協助推廣。

摘要


由於現今的系統晶片整合度日益提高,對於晶片工作時的電力網路中壓降監控的重視度也更勝以往。本論文提出一個根據相對參考模型建立而成的全數位壓降監控器。 在一點1.1V電壓校正後,此全數位壓降監控器可以監控晶片的工作電壓,並且將增測到的電壓轉成數位的編碼輸出給後續系統晶片測錯和測試使用。他可以達到0.027mV的解析度且最大誤差為16.4mV在製程、電壓和溫度飄移之下。 此外,在先前利用相對參考模型建立而成的全數位震盪器也有所改進。改進了先前全數位震盪器中費時的邏輯閘選擇的過程,改以兩個可調整式的震盪器取代。利用控制兩個震盪器的控制碼來得到期望中溫度和電壓對應延遲比例的趨勢線。以上兩個研究皆是建構於相對參考模型並且在90奈米製程技術實現。

並列摘要


Due to the high level integration of the system-on-a-chip (SoC), it becomes more and more important to monitor the IR drop of the power network during chip operation. In this thesis, an all-digital on-chip voltage sensor which uses a relative reference modeling (RRM) is presented. After one-point calibration at 1.1V, the proposed all-digital voltage sensor can monitor the operating voltage of the chip and outputs digital codes for SoC chip debugging and testing. It achieves a 0.027mV resolution and has a maximum error 16.4mV with process, voltage, and temperature (PVT) variations. In addition, the prior work of the on-chip oscillator with RRM is improved. The timing consuming cell selection flow in prior on-chip oscillator design can be reduced by two digital controllable oscillators. The control codes of the two DCOs are adjusted to achieve the desired trend lines of delay ratio versus temperature and voltage curve. As a result, the frequency error of the on-chip oscillator can be reduced. Both the proposed relative reference modeling based static IR-drop monitor on-chip silicon oscillator are implemented in 90nm CMOS process.

參考文獻


[1] Hung Viet Nguyen, and Youngmin Kim, “32 nm FinFET-based 0.7-to-1.1 V digital voltage sensor with 50 mV resolution,” in Proceedings of IEEE International Conference IC Design & Technology (ICICDT), Jun. 2012.
[7] Ming-Hung Chang, Shang-Yuan Lin, Pei-Chen Wu, Olesya Zakoretska, Ching-Te Chuang, Kuan-Neng Chen, Chen-Chao Wang, Kua-Hua Chen, Chi-Tsung Chiu, Ho-Ming Tong, and Wei Hwang, “Near-/Sub-Vth process, voltage, and temperature (PVT) sensors with dynamic voltage selection,” in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), May 2013, pp. 133-136.
[8] Arunkumar Vijayakumar, Raghavan Kumar, and Sandip Kundu, “On design of low cost power supply noise detection sensor for microprocessors,” in Proceedings of IEEE International Symposium on VLSI (ISVLSI), Aug. 2012, pp. 120-125.
[9] Ali Muhtaroglu, Greg Taylor, and Tawfik Rahal-Arabi, “On-die droop detector for analog sensing of power supply noise,” IEEE Journal of Solid-State Circuits, vol. 39, no. 4, pp. 651-660, Apr. 2004.
[10] Xijiang Lin, “Power supply droop and its impacts on structural at-speed testing,” in Proceedings of IEEE 21st Asian Test Symposium (ATS), Nov. 2012, pp. 239-244.

延伸閱讀