透過您的圖書館登入
IP:18.189.180.76
  • 學位論文

考慮電源雜訊之測試資料分析與重建用於增進良率之方法

Power-Supply-Noise-Aware Test Pattern Analysis and Regeneration for Yield Improvement

指導教授 : 李建模

摘要


本論文提出一個新穎的想法去修改測試向量為了減少在捕獲造成電源供應雜訊太大之測試向量,由於此種測試向量會造成過長的路徑延遲,當路徑延遲大於電路時脈,會造成良好的晶片測試失敗。我們計算因為電源雜訊而產生的路徑延遲,我們的演算法精準度與HSPICE比較,只有8%之誤差;速度與NANOSIM比較,在大型電路中可以加速272倍。實驗結果顯示,我們在大型電路中成功辨識出88個測試向量有過長的路徑延遲,並且利用業界測試向量產生器重新產生安全的測試資料。我們提出的技術相對於考慮功率的自動測試向量產生器有較短的測試向量、較低的電壓降、較高的錯誤涵蓋率。

關鍵字

電源雜訊 測試 時序分析 良率

並列摘要


This thesis propose a power-supply-noise-aware test pattern analysis and regeneration framework. The proposed framework analysis timing with reasonable accuracy at much faster speed than existing tools. This technique is very scalable because it is based on linear analytical functions, instead of solving nonlinear functions. Moreover, the function is technology dependent, so there is no need to perform spice characterization for each cells. The experimental results show, for small circuits, the error is less than 5% compared with HSPICE. For large circuits, we achieved 272 times speed up compared with NANOSIM. We perform timing analysis on a 638K gate benchmark circuit to identify 88 timing-violation test patterns (out of 31K test patterns) that are difficult to detect by traditional techniques. After test pattern regeneration, we removed all risky patterns, without fault coverage loss and with only little test inflation. The proposed technique generates shorter test sets and higher fault coverage than commercial power-aware ATPG.

並列關鍵字

power-supply-noise PSN testing transition fault yield timing analysis

參考文獻


[Ahmadi 2003] T. Ahmadi and F. Najm, “Timing Analysis in Presence of Power Supply Noise and Ground Voltage Variations,” Proc. IEEE Int. Conf. Comput.-Aided Design, 2003, pp. 1–8.
[Ahmed 2007] N. Ahmed, M. Tehranipoor, and V. Jayaram, “Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design,” Proc. of Design Automation Conf., 2007, pp. 533-538.
[Ahmed 2007b] N. Ahmed, M. Tehranipoor, and V. Jayaram, “Supply Voltage Noise Aware ATPG for Transition Delay Faults,” Proc. of VLSI Test Symposium, 2007, pp. 179-186.
[Ahmed 2009] N. Ahmed and M. Tehranipoor, “A Novel Faster-Than-at-Speed Transition-Delay Test Method Considering IR-Drop Effects,” Trans. on Computer-Aided Design of Integrated Circuits and Systems, 2009, vol. 28, issue 10, pp. 1573-1582.
[Almukhaizim 2008] Almukhaizim, Sobeeh, and Ozgur Sinanoglu. "Peak power reduction through dynamic partitioning of scan chains." Test Conference, 2008. ITC 2008. IEEE International. IEEE, 2008.

延伸閱讀