透過您的圖書館登入
IP:3.139.107.241
  • 學位論文

以自形成氧化錳薄膜應用於銅金屬化之擴散阻障層特性研究

Diffusion barrier properties of self-formed MnOx thin films in copper metallization

指導教授 : 潘扶民

摘要


在目前超大型積體電路(ULSI)的發展中,以銅作為導線已經為一種趨勢,而在深次微米製程中以銅取代鋁做為金屬導線材料後,為了克服銅與矽基材間相互擴散的問題,必須在銅與矽間鍍上具有高熱穩定性及良好界面附著性的擴散阻障層。本實驗中利用金屬錳具有極大之負氧化自由能(Standard free energy of oxide formation),在高溫驅動下能與介電層相互作用,形成銅與矽基材間之自形成擴散阻障層。。 在本研究中鍍膜結構Cu(100nm)/Mn (15nm)/SiO2/Si,在利用濺鍍法沉積錳薄膜與銅薄膜導線後,施以不同溫度退火並在金屬錳膜與介電層發生反應形成擴散阻障層後,以四點探針量測銅片電阻;以掃描式電子顯微鏡(SEM)觀察銅膜表面型態;以X光繞射儀做相的分析鑑定;以原子力顯微鏡(AFM)量測方均根粗糙度;以X光光電子能譜分析(XPS)分析表面鍵結狀態;以歐傑電子能譜(AES)做成份縱深分析;以穿透式電子顯微鏡(TEM)做鍍膜截面觀察;以I-V量測漏電流值;以BTS(Bias temperature stress)量測在定電場不同環境溫度下試片的穩定度(stability);以薄膜附著力測試機(adhesion tester)分析薄膜機械強度;藉由沉積薄膜在圖案化基材檢視其覆蓋完整度。 本研究顯示退火後結構變成Cu(100nm)/MnOx(15nm)/SiO2/Si,顯示在400℃退火溫度下時自形成氧化錳擴散阻障層產生在界面間。上述分析方法顯示此種結構經500℃以下之退火處理具有優異的熱穩定性。然而在600℃退火後氧化錳與介電層產生分層現象(delamination),使銅擴散進入矽基材,阻障層功效遭到破壞。

並列摘要


Copper has attracted much attention as a promising interconnect material in ultra-large scale integration (ULSI) circuit devices because of its low resistivity and better electromigration resistance compared with conventional Al alloys. However, because Cu diffuses fast in Si and forms deep-level trap defects, a robust diffusion barrier is required for ULSI IC technology. A desirable barrier should have high thermal stability and good adhesion with the Cu interconnect and the dielectric layer. In this study, we investigated the diffusion barrier property of manganese oxide, which are self-formed from a metallic Mn ultra-thin film sputter-deposited at the interface between the Cu interconnect and the dielectric. Because Mn has a large negative standard free energy of oxide formation, it easily reacts with the dielectric layer during thermal annealing at high temperatures. We prepared film stacks featuring the Cu (100nm)/Mn (15nm)/SiO2/Si structure and thermally annealed the film stacks in vacuum at various temperatures to form a MnOx barrier between the Cu overlayer and the SiO2 dielectric. Materials characterizations and electrical measurements were carried out to study the dependence of the diffusion barrier performance on material properties of the self-formed MnOx barrier. The copper surface morphology was inspected by scanning electron spectroscopy (SEM), and an atomic force microscope (AFM) was used to evaluate the roughness of the sample surface. Microstructure of the thin film stacks was studied by x-ray diffractometry (XRD) and transmission electron microscopy (TEM). The surface chemical composition was analyzed by Auger electron spectroscopy (AES) and electron spectroscopy for chemical analysis (ESCA). AES was also used to study copper diffusion across the interface between the Cu overlayer and the SiO2 substrate using depth profiling technique. The sheet resistance of the film stacks after various thermal treatment was measured by a four-point probe, and I-V measurement was carried out to measure the leakage current. A bias temperature stress (BTS) measurement system was used to study the thermal stability of the film stack under electrical stress. Adhesion strength was studied using an adhesion tester. XRD analysis and electrical measurements indicate that the ultrathin metallic Mn layer in the Cu/Mn/SiO2/Si film stack is oxidized to MnOx at the temperature of 400oC. AES and TEM analyses clearly demonstrate that the MnOx barrier layer is thermally stable at temperatures as high as 500oC. According to electrical measurements, the Cu/MnOx/SiO2/Si film stack exhibits better electrical properties compared with the film stack subject to thermal treatment at temperatures below 400oC. However, the MnOx diffusion barrier fails at temperature of 600oC as revealed by the deteriorated electrical properties and mechanical delamination at the interface between the barrier layer and the SiO2 dielectric layer. AES depth profiles and TEM images also provide clear evidence that copper diffuses into the dielectric layer when the film stack receives a thermal treatment at 600oC.

參考文獻


1.J. Koike, M. Haneda, J. Iijima and M. Wada, “Growth kinetics and thermal stability of a self-formed barrier layer at Cu-Mn/SiO2 interface.”, J. Appl. Phys., 102 (2007).
2.D.C.Perng, K.C.Hsu, and J.B.Yeh, “A 3nm Self-Forming InOx Diffusion Barrier for Advanced Cu/Porous Low-k Interconnects.”, J. Appl. Phys., 49 (2010).
3.D.C.Perng, K.C.Hsu, and J.B.Yeh, “Self-forming AlOx layer as Cu diffusion barrier on porous low-k film.”, Thin Solid Films, 518 (2010).
4.C.J.Liu, J.S.Chen, “Low leakage current Cu(Ti)/SiO2 interconnection scheme with a self-formed TiOx diffusion barrier.”, Appl. Phys. Lett., 80, (2002).
5.W.Lee, H.Cho, B.Cho, J.Kim, Y.S.Kim, W.G.Jung, J.Lee, C.Lee, P. J. Reucroft, and J.Lee, “Effect of Mg content in Cu(Mg)/SiO2/Si multilayers on the resistivity after annealing in an oxygen ambient.”, J. Vac. Sci. Technol. A , 18 (2000).

延伸閱讀