透過您的圖書館登入
IP:3.145.143.239
  • 學位論文

極紫外光輻射對於高介電常數介質之影響研究

Effect of Extreme Ultra-Violet Radiation on High Dielectric Constant Dielectrics

指導教授 : 崔秉鉞

摘要


本論文探討極紫外光輻射對金屬閘極/高介電常數介電層的影響。選用氮化鈦為金屬閘極材料,並選用五種介電層,分別為二氧化矽、金屬有機化學沉積之氧化鋁、氧化鋁鉿、氧化矽鉿以及原子層沉積之氧化鋁,製作成金氧半(MOS)電容器,另外也使用二氧化矽、氧化鋁兩種介電質製作了金氧半場效電晶體(MOSFET)來驗證極紫外光對電晶體的影響。 以此五種介電質製作成的電容器經極紫外光照射後有明顯的電容-電壓特性變化,包括平帶電壓飄移,電容-電壓曲線變形,也有遲滯現象的劣化。平帶電壓飄移表示有淨正電荷產生,電容-電壓曲線變形表示介面能態增加,遲滯現象劣化則表示邊界陷阱增加。綜合所有結果顯示二氧化矽抗極紫外光能力最佳。在高介電常數介電質方面,氧化鋁呈現了最差之抗輻射能力。其中以金屬有機化學沉積之氧化鋁有最大的平帶電壓飄移,原子層沉積之氧化鋁則顯現出較多的介面能態以及遲滯現象增加。氧化矽鉿之能帶下半部經及紫外光照射後產生較多之介面能態。氧化鋁鉿也對及紫外光有敏感的反應。以二氧化矽及氧化鋁作為介電質的電晶體經極紫外光照射後,電晶體特性也和電容器一樣有臨界電壓漂移,此現象可以呼應電容器電容-電壓曲線的漂移,顯示在介電層中一樣有電洞的捕捉。另外電晶體在關閉下的漏電流,經過元件的汲極、源極接面量測結果,我們可以確定這是由源極以及汲極的n+-p接面漏電所導致的結果。可能是因為場氧化層(Field oxide)與矽基板介面經過極紫外光照射後,增加的介面能態導致激發電流增加所致。 本論文也討論到經過極紫外光影響後的元件是否可以有自我修復的現象。元件經由長時間儲存於一般室溫環境之下,電容器以及電晶體中因為極紫外光產生的傷害會逐漸減少,並且有一定程度的改善,但是並無法回復到未照射前的性能。因此極紫外光微影製程的設計必須與元件性能整體考慮,如何修復極紫外光照射所產生的損傷也是企待進一步研究的課題。

關鍵字

極紫外光 輻射 高介電

並列摘要


In this thesis, EUV radiation damage on high dielectric constant (high-k) dielectric with metal gate is evaluated. TiN is selected as the metal gate electrode. Five kinds of high-k dielectric are evaluated. They are SiO2, , MOCVD Al2O3, HfAlO, HfSiO and ALD Al2O3. Simple metal-oxide-Si (MOS) capacitor structure was fabricated. We also use SiO2 and Al2O3 as gate dielectrics fabricate Metal-Oxide-Silicon-Field-Effect-Transistor (MOSFET) in order to demonstrate EUV effect on MOSFET. Before and after EUV irradiation, the C-V curves of these five dielectrics have significant change. There are flatband voltage shift, C-V curve distortion and hysteresis increases. Among these five kinds of dielectrics, SiO2 exhibits the best EUV resistance. In high-k materials, Al2O3 suffered the worst radiation tolerance. The MOCVD have the biggest flat band voltage shift and ALD Al2O3 exhibits more interface state and hysteresis increasing. After EUV irradiation the HfSiO generate more interface state in lower energy band gap. The HfAlO also has sensitive EUV irradiation response. The radiation hardness of all dielectrics may be related to hole traps in the dielectric and the EUV absorbing rate. Positive charges (hole traps) and border traps were also generated during EUV exposure. The Id-Vg curve shows that there are Vth shift in both SiO2 and Al2O3 samples which can respond to C-V curve shift with the positive charges be trapped in gate dielectric. We also observed when MOSFETs are in the off-state, the leakage current will raise after EUV irradiation. Measuring the n+-p junction I-V characteristic we find out the leakage current is also affected by EUV. We infer that the edge of S/D region under isolation generated leakage path after EUV irradiation which cause the leakage current when MOSFETs are in off-state. In this thesis we also discuses that weather the device can have self-annealing behavior after EUV irradiation. After storage the samples at room temperature for a long time, the decreasing of radiation induced damages is observed. How to fixed the radiation damage is still under researched.

並列關鍵字

EUV radiation High-k

參考文獻


[1]. Lithography in international technology roadmap for semiconductor, pp.11-12, 2009
[2]. M. D. Austin, H. Ge, W. Wu, M. Li, Z. Yu, D. Wasserman, S. A. Lyon, and S. Y. Choub “Fabrication of 5 nm linewidth and 14 nm pitch features,” Appl. Phys. Lett., Vol.84 pp.5299 – 5301, 2004.
[5]. C. M. Svensson, ’’The Defect Structure of the Si-SiO2 interface, a model based on trivalent silicon and its hydrogen ’compounds’,” The physics of SiO2 and Its Interface, pp. 328-332, 1978
[6]. S. K. Lai “Interface trap generation in silicon dioxide when electrons are captured by trapped holes,” J. Appl. Phys. ,Vol. 54, pp. 2540-2546,1983
[8]. S. K Lai, “Two‐carrier nature of interface‐state generation in hole trapping and radiation damage” Appl. Phys. Lett. Vol. 39 pp. 58-61, 1981

延伸閱讀