透過您的圖書館登入
IP:18.119.132.223
  • 學位論文

應用於前瞻奈米製造之氦離子束製像及鄰近效應模型建立與修正方法

Modeling and Correction Methods for Helium-Ion-Beam Patterning and Proximity Effect with Applications in Advanced Nanofabrication

指導教授 : 蔡坤諭
若您是本文的作者,可授權文章由華藝線上圖書館中協助推廣。

摘要


氦離子束直接曝寫是一個可用於7奈米以下節點圖案製造的潛在替代方案,因為它的優勢包括次0.5奈米的聚焦尺寸,較輕微的鄰近效應,以及潛在比電子束更高的解析度和更好的製像真確度。利用氦離子束直接銑削技術,不需要套用任何複雜的製程最佳化,例如鄰近效應修正方法,就可以相當容易解析出低至IRDS 1.5奈米節點的圖案,而且關鍵尺寸誤差很低。本論文提出並研究了基於模型的鄰近效應修正方法於氦離子束微影中的有效性。該模型利用點擴散函數來描述入射離子束粒子於光阻中散射時涉及的所有現象。後續修正過程的圖案預測來自於點擴散函數和圖案之間的卷積所產生的能量強度分佈,並加上一個適當的光阻靈敏度閾值。本方法透過數個15到5奈米半間距的設計佈局,並在特定的製程參數下,包括加速電壓、抗蝕劑厚度和抗蝕劑靈敏度,來檢驗其於氦離子束微影上的效能 本論文也研究了利用氦離子束直接銑削於先進檢測方案的可行性和潛在優勢。藉由利用氦離子束直接銑削,製程的複雜性可以大幅降低。氦離子束製程控制的能力由其高解析度和低製程複雜性得以驗證,顯示其在檢測工具所使用的測試結構製造中的潛力。然而,氦離子束直接銑削的高劑量特性使其在製造凸型圖案時不太有利。為了緩解此一現象,本論文也探討利用氦離子束微影技術製造高解析度奈米結構的可行性和潛在優勢。 最後,初步研究結果顯示,氦離子束直接銑削和氦離子束微影技術可用為製造10奈米以下圖案的一個有潛力的選擇,如應用於前瞻節點檢測工具的設計缺陷、極紫外光微影/X光微影光罩和其他超高解析度結構。總結,氦離子束是一種具有可製造出高解析度和高精度的原型元件的可行設備,並且適合用於開發用於奈米技術的先進解決方案或新穎應用。

並列摘要


Helium ion beam (HIB) direct-write is a promising alternative for sub-7-nm node pattern fabrication due to its advantages include sub-0.5-nm focusing spot size, minor proximity effects, and potentially higher resolution and better patterning fidelity than electron beam. Patterns down to approximately the IRDS 1.5-nm node with low CD errors are readily resolved without needing any complicated process optimization such as PEC by utilizing HIB direct milling. This thesis presents and investigates the effectiveness of a model-based proximity effect correction method for helium ion beam lithography (HIBL). A point spread function is utilized to account for all phenomena involved during the resist scattering events of incident ion beam particles. Patterning prediction for subsequent correction process is derived from the energy intensity distribution due to convolution between the point spread function and the pattern, with an adequate cut-off threshold. The performance of this method for HIBL is examined through several designed layouts from 15- to 5-nm HP under specific process parameters, including acceleration voltage, resist thickness, and resist sensitivity. This thesis also investigates the feasibility and potential advantage of utilizing HIB direct milling for metrology application in advanced nodes. The process complexity can be significantly reduced by utilizing HIB direct milling. Patterning control's capability is demonstrated with high resolution and low process complexity, indicating its potential in metrology test structures fabrication. However, HIB direct milling's high-dosage characteristic makes it less favorable for the fabrication of bump-type patterns. As a remedy, the feasibility and the potential advantage of utilizing HIB direct-write lithography for fabricating high-resolution nanostructure is investigated. Preliminary results demonstrate that HIB direct milling and HIB direct-write lithography can be a promising alternative for fabricating sub-10 nm patterns such as programmed defects (PDs) for metrology solutions in advanced nodes, EUVL/X-ray mask, and other ultra-high-resolution structures. In conclusion, HIB is a potential tool to fabricate prototype devices with high resolution and high precision features for developing advanced solutions or novel applications in nanotechnology.

參考文獻


1. IEEE, “The international roadmap for devices and systems,” https://irds.ieee.org (2020).
2. M. Fujita et al. “Application and evaluation of direct-write electron beam for ASICs,” IEEE J. Solid-State Circuits 23(2), 514–519 (1988).
3. J. G. Hartley and T. R. Groves, “Chrome on glass mask writing at 75 kV with the IBM EL4+electron-beam system,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 17(6), 2932–2935 (1999).
4. B. D. Cook and S. Y. Lee, “Dose, shape, and hybrid modifications for PYRAMID in electron beam proximity effect correction,” J. Vac. Sci. Technol. 15(6), 2303–2308 (1997).
5. C.-H. Liu et al., “Impacts of point spread function accuracy on patterning prediction and proximity effect correction in low-voltage electron-beam-direct-write lithography,” J. Vac. Sci. Technol. B, Nanotechnol. Microelectron.: Mater. Process. Meas. Phenom. 31(2), 021605 (2013).

延伸閱讀