透過您的圖書館登入
IP:3.145.54.199
  • 學位論文

降低測試功率之可測試設計與最小漏電流向量產生技術

A DfT and Minimum Leakage Pattern Generation Technique for Test Power Reduction

指導教授 : 李建模

摘要


本文提出最小漏電流向量產生器以及可測試性技術設計,用來降低在移位模式下的靜態測試功率。此技術將最小漏電流向量產生的問題轉換成虛擬布林函數最佳化問題,而漏電流的非線性任務函數被近似成線性函數使得此問題可以有效的以現行的虛擬布林函數最佳化軟體來求解。並提出一個電路分割方法以減少總CPU運算時間。低靜態功率可測試性設計架構在移位模式下用來給予最小漏電流向量。在ISCAS’89的基準電路使用TSMC90奈米製程的實驗顯示,在有限的最佳化時間內小電路在不作電路分割下靜態功率降低最多到32.7%。大電路可以降低靜態功率從8.3% (不分割電路)到17.47%(分割成64份電路下)。此外,最佳化的時間可以從3,600秒(不分割電路)降低至83秒(分割成64份電路下)。此技術降低靜態功率並不需改變製程或資料單元設計,此技術的缺點會有些微的面積增加以及效能減低。

並列摘要


This thesis presents a minimum leakage pattern generator and a DfT technique to reduce static test power during shift mode for nanometer technology. This technique transforms the minimum leakage pattern generation problem into a pseudo Boolean optimization (PBO) problem. Nonlinear objective functions of leakage power are approximated by linear ones such that this problem can be solved efficiently by existing PBO solver. A partition method is applied to reduce the overall CPU time. The proposed DfT structure for low static power can be used to apply the minimum leakage pattern during shift mode. Experimental results on ISCAS’89 benchmark circuits using TSMC 90nm technology show that under the limited optimization time the static power is reduced by up to 32.7% for small circuits without partition. For large circuits, the static power is reduced from 8.3% (without partition) to 17.47% (with 64 partitions). Besides, the overall CPU time is reduced from 3,600 seconds (without partition) to 83 seconds (with 64 partitions). This technique reduces the static power without changing the manufacturing process or library cell design. The penalty of this technique is small area overhead and performance degradation.

並列關鍵字

DfT testing low power static power leakage

參考文獻


[Abdollahi 04] Abdollahi, A.; Fallah, F.; Pedram, M., "Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control," IEEE Trans. on VLSI Systems,, vol.12, no.2, pp. 140-154, Feb. 2004.
[Bonhomme 01] Y. Bonhomme, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitvh, “A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores,” Proc. Asian Test Symp., pp.253-258, 2001.
[Chen 87] Chan, T.Y.; Chen, J.; Ko, P.K.; Hu, C., "The Impact of Gate-induced Drain Leakage Current on MOSFET Scaling," Electron Devices Meeting, 1987 Int’l, vol.33, pp. 718-721, 1987
[Chen 98] Zhanping Chen; Johnson, M.; Liqiong Wei; Roy, W., "Estimation of Standby Leakage Power in CMOS Circuit Considering Accurate Modeling of Transistor Stacks", Proc. Int’l Symps. on Low power electronics and design, pp. 239-244, 10-12 Aug 1998
[Coudert 96] O. Coudert. “On Solving Covering Problems,” Proc. Design Automation Conf., pp. 197–202, June 1996.

延伸閱讀