透過您的圖書館登入
IP:18.117.77.76
  • 學位論文

內建自我速度評估電路與製程容忍的全數位鎖相迴路

Built-In Speed Grading with a Process-Tolerant All-Digital Phase-Locked Loop

指導教授 : 黃錫瑜

摘要


速度的分類變的越來越重要,它可以幫助我們找出每一個晶片的效能。我們提出內建自我速度評估電路包含三個部份:第一個部分是把我們的待測電路包覆上內建自我測試電路。第二個部分是內建自我速度評估電路的控制器。第三部份是全數位鎖相迴路。全數位鎖相迴路就像是一個可調整的頻率產生器,它可以產生各式各樣不同的頻率在一個固定的振盪頻率範圍內,我們可以使用它來幫助我們找出待測電路在正常運作下的最快操作頻率。 在我們提出的內建自我速度評估電路下,我們使用的全數位鎖相迴路是使用TSMC 0.18-um one-poly-six-metal CMOS的製成實現。它可以振盪出的頻率範圍為80MHz ~ 540MHz。它只需要196個參考週期就可以鎖定到我們想要的頻率。它的平均解析度為12ps,頻率鎖定誤差約為0.7%。鎖定誤差的計算公式是把全數位鎖相迴路鎖定到頻率的值與我們預期得到頻率的值作相減的動作之後再取絕對值,接下來除上我們預期得到頻率的值最後乘上 100%。輸出時脈的峰對峰時脈抖動小於69ps。 為了防止製程變異造成的影響,我們提出二元-鄰近-線性的鎖定方法,這一個鎖定的演算法可以有效的幫助我們降低製程變異所造成的影響,提高鎖定的準確度,即使全數位鎖相迴路上的延遲閘有50%的延遲的變異,我們的頻率鎖定誤差只增加約1%左右。待測電路包覆上我們提出的內建自我速度評估電路只需要多增加2289個邏輯閘。

並列摘要


Speed grading has becoming more and more important for nanometer technologies to support activities like process monitoring or performance diagnosis. In this work, we analyze the feasibility of providing such a capability through on-chip circuitry. This Built-In Speed Grading (BISG) methodology uses an All-Digital Phase-Locked Loop (ADPLL) as the programmable clock generator to provide various clock signals within a specific frequency range. The maximum operating speed of a circuit can thus be easily tracked down using a binary search process with multiple runs of built-in self-test. To accommodate larger process variation, we further explore a so-called binary-neighborhood-linear frequency-locking scheme for the underlying ADPLL, and thereby resulting in a higher accuracy. Experimental results show that only 2289 gates are adequate to provide this valuable infrastructure that may find numerous applications in IC testing and diagnostics.

並列關鍵字

無資料

參考文獻


[1] J. Zeng, M. Abadir, G. Vandling, L. Wang, A. Kolhatkar, and J. Abraham, “On correlating structural tests with functional tests for speed binning of high performance design,” Proc. of Int’l Test Conf. (ITC’04), pp. 31-37, October (2004).
[2] A. Raychowdhury, S. Ghosh, and K. Roy, “A novel on-chip delay measurement hardware for efficient speed-binning,” Proc. of Int’l On-Line Testing Symp. (IOLTS 2005), pp. 287-292, July (2005).
[3] B. D. Cory, R. Kapur, and B. Underwood, “Speed binning with path delay test in 150-nm technology,” IEEE Design & Test of Computers, pp. 41-45, October (2003).
[4] K. M. Butler, K.-T. Cheng, and L.-C. Wang, “Guest editors' introduction: speed test and speed binning for complex ICs,” IEEE Design & Test of Computers, pp. 6-7, September (2003).
[7] A. Datta, S. Bhunia, J.-H. Choi, S. Mukhopadhyay, and K. Roy, “Speed binning aware design methodology to improve profit under parameter variations,” Proc. of Asia South-Pacific Design Automation Conf., pp. 712-717, January (2006).

延伸閱讀